nr |
titel |
auteur |
tijdschrift |
jaar |
jaarg. |
afl. |
pagina('s) |
type |
1 |
Ab-initio study on parameter extraction for kinetic Monte Carlo simulation of neutral indium diffusion in uniaxially- and biaxially-strained silicon
|
Kim, Young-Kyu |
|
2008 |
|
5-6 |
p. 1182-1185 4 p. |
artikel |
2 |
A compact and disposable transdermal drug delivery system
|
Matteucci, M. |
|
2008 |
|
5-6 |
p. 1066-1073 8 p. |
artikel |
3 |
Aligned quantum dot molecules with 4 satellite dots by self-assembly
|
Siripitakchai, N. |
|
2008 |
|
5-6 |
p. 1218-1221 4 p. |
artikel |
4 |
A low damage Si3N4 sidewall spacer process for self-aligned sub-100nm III–V MOSFETs
|
Li, X. |
|
2008 |
|
5-6 |
p. 996-999 4 p. |
artikel |
5 |
A micromagnetoflowcell for microfluidic measurements
|
Lo, C.S. |
|
2008 |
|
5-6 |
p. 1062-1065 4 p. |
artikel |
6 |
A miniaturized RMS voltage sensor based on a torsional actuator in bulk silicon technology
|
Dittmer, Jan |
|
2008 |
|
5-6 |
p. 1437-1439 3 p. |
artikel |
7 |
Analysis of the filling behaviour of trenches via air bubble tracking
|
Möllenbeck, S. |
|
2008 |
|
5-6 |
p. 881-885 5 p. |
artikel |
8 |
Analysis of time dependent polymer deformation based on a viscoelastic model in thermal imprint process
|
Takagi, H. |
|
2008 |
|
5-6 |
p. 902-906 5 p. |
artikel |
9 |
Analysis of transient adsorption processes using micro/nanocantilever oscillators
|
Djurić, Zoran G. |
|
2008 |
|
5-6 |
p. 1386-1389 4 p. |
artikel |
10 |
A nanoimprint lithography for fabricating SU-8 gratings for near-infrared to deep-UV application
|
Xie, Shen-Qi |
|
2008 |
|
5-6 |
p. 914-917 4 p. |
artikel |
11 |
A new way to integrate solid state nanopores for translocation experiments
|
Huisman, E.M. |
|
2008 |
|
5-6 |
p. 1311-1313 3 p. |
artikel |
12 |
A novel pressure sensor with a PDMS diaphragm
|
Lee, Dong-Weon |
|
2008 |
|
5-6 |
p. 1054-1058 5 p. |
artikel |
13 |
A novel SLS ELA crystallization process and its effects on polysilicon film defectivity and TFT performance
|
Moschou, Despina C. |
|
2008 |
|
5-6 |
p. 1447-1452 6 p. |
artikel |
14 |
Antireflective nanostructured microlenses
|
Päivänranta, Birgit |
|
2008 |
|
5-6 |
p. 1089-1091 3 p. |
artikel |
15 |
APEX protocol implementation on a lab-on-a-chip for SNPs detection
|
Marasso, S.L. |
|
2008 |
|
5-6 |
p. 1326-1329 4 p. |
artikel |
16 |
Attomole (amol) myoglobin Raman detection from plasmonic nanostructures
|
Das, G. |
|
2008 |
|
5-6 |
p. 1282-1285 4 p. |
artikel |
17 |
Author Index
|
|
|
2008 |
|
5-6 |
p. 1457-1480 24 p. |
artikel |
18 |
A versatile sample injection system for miniaturised isotachophoresis devices
|
Baldock, S.J. |
|
2008 |
|
5-6 |
p. 1440-1442 3 p. |
artikel |
19 |
Bead-based single protein micro-array realized through electrostatic self-assembly of carboxylated beads
|
Sivagnanam, Venkataragavalu |
|
2008 |
|
5-6 |
p. 1355-1358 4 p. |
artikel |
20 |
Biodegradable polymer tubes with lithographically controlled 3D micro- and nanotopography
|
Seunarine, K. |
|
2008 |
|
5-6 |
p. 1350-1354 5 p. |
artikel |
21 |
Cell proliferation assays on plasma activated SU-8
|
Hennemeyer, Marc |
|
2008 |
|
5-6 |
p. 1298-1301 4 p. |
artikel |
22 |
Characterization at the nanometer scale of local electron beam irradiation of CNT based devices
|
Rius, G. |
|
2008 |
|
5-6 |
p. 1413-1416 4 p. |
artikel |
23 |
Characterization of thin film transistors fabricated on different sequential lateral solidified poly-silicon substrates
|
Michalas, L. |
|
2008 |
|
5-6 |
p. 976-978 3 p. |
artikel |
24 |
Chemical engineering of silicon oxide surfaces using micro-contact printing for localizing adsorption events of nanoparticles, dendrimers and bacteria
|
Cau, Jean-Christophe |
|
2008 |
|
5-6 |
p. 1143-1146 4 p. |
artikel |
25 |
Chemically amplified molecular resists for e-beam lithography
|
Gibbons, F.P. |
|
2008 |
|
5-6 |
p. 764-767 4 p. |
artikel |
26 |
Comparison of different methods for simulating the effect of specular ion reflection on microtrenching during dry etching of polysilicon
|
Kunder, D. |
|
2008 |
|
5-6 |
p. 992-995 4 p. |
artikel |
27 |
Completely green one-step fabrication of gold patterned-flexible film
|
Shiigi, Hiroshi |
|
2008 |
|
5-6 |
p. 1214-1217 4 p. |
artikel |
28 |
Computationally efficient modelling of pattern dependencies in the micro-embossing of thermoplastic polymers
|
Taylor, Hayden |
|
2008 |
|
5-6 |
p. 1453-1456 4 p. |
artikel |
29 |
Contact force control of piezoresistive cantilevers with in-plane nanotips for femtoliter droplet deposition
|
Saya, Daisuke |
|
2008 |
|
5-6 |
p. 1341-1345 5 p. |
artikel |
30 |
Control of duty ratio in waveguide gratings using a near-field holographic lithography system with a variable aperture
|
Sung, Jun-Ho |
|
2008 |
|
5-6 |
p. 925-928 4 p. |
artikel |
31 |
Crystalline silicon cantilevers for piezoresistive detection of biomolecular forces
|
Villanueva, G. |
|
2008 |
|
5-6 |
p. 1120-1123 4 p. |
artikel |
32 |
Custom-specific UV nanoimprint templates and life-time of antisticking layers
|
Schmitt, H. |
|
2008 |
|
5-6 |
p. 897-901 5 p. |
artikel |
33 |
CVD oriented growth of carbon nanotubes using AlPO4-5 and L type zeolites
|
Martin, I. |
|
2008 |
|
5-6 |
p. 1202-1205 4 p. |
artikel |
34 |
Deprotection kinetic monitoring of a 193nm positive tone resist by ellipsometry
|
Ridaoui, H. |
|
2008 |
|
5-6 |
p. 979-981 3 p. |
artikel |
35 |
Design and fabrication of a Si micromechanical capacitive array for DNA sensing
|
Tsouti, V. |
|
2008 |
|
5-6 |
p. 1359-1361 3 p. |
artikel |
36 |
Design and fabrication using nanoimprint lithography of a nanofluidic device for DNA stretching applications
|
Abad, E. |
|
2008 |
|
5-6 |
p. 818-821 4 p. |
artikel |
37 |
Design and simulation of a tunable photonic band gap filter
|
Thubthimthong, B. |
|
2008 |
|
5-6 |
p. 1421-1424 4 p. |
artikel |
38 |
Design, fabrication, and testing of microporous wicking structure
|
Chen, Yongkang |
|
2008 |
|
5-6 |
p. 1027-1030 4 p. |
artikel |
39 |
Determination of best focus and optimum dose for variable shaped e-beam systems by applying the isofocal dose method
|
Keil, Katja |
|
2008 |
|
5-6 |
p. 778-781 4 p. |
artikel |
40 |
Determination of particle distributions in microfluidic systems under the influence of electric fields
|
Heeren, Andreas |
|
2008 |
|
5-6 |
p. 1294-1297 4 p. |
artikel |
41 |
Determination of stress build-up during nanoimprint process in triangular polymer structures
|
Fernandez-Cuesta, I. |
|
2008 |
|
5-6 |
p. 838-841 4 p. |
artikel |
42 |
Development and validation of functional imprint material for the step and flash imprint lithography process
|
Kettle, J. |
|
2008 |
|
5-6 |
p. 850-852 3 p. |
artikel |
43 |
Development of a new porous pin chuck for lithography
|
Une, A. |
|
2008 |
|
5-6 |
p. 749-753 5 p. |
artikel |
44 |
Development of a STM compatible ion emitter capable of atomic imaging resolution
|
Martrou, D. |
|
2008 |
|
5-6 |
p. 1403-1405 3 p. |
artikel |
45 |
Direct Al–Al contact using low temperature wafer bonding for integrating MEMS and CMOS devices
|
Lin, H. |
|
2008 |
|
5-6 |
p. 1059-1061 3 p. |
artikel |
46 |
3-D stacked CMOS inverters using Pt/HfO2 on Si substrate for vertical integrated CMOS applications
|
Oh, Soon-Young |
|
2008 |
|
5-6 |
p. 1206-1209 4 p. |
artikel |
47 |
Dynamic behavior of the tuning fork AFM probe
|
Bayat, Dara |
|
2008 |
|
5-6 |
p. 1018-1021 4 p. |
artikel |
48 |
E-beam lithography of catalyst patterns for carbon nanotube growth on insulating substrates
|
Häffner, M. |
|
2008 |
|
5-6 |
p. 768-773 6 p. |
artikel |
49 |
Effective one-dimensional electronic structure of InGaAs quantum dot molecules
|
Thudsalingkarnsakul, N. |
|
2008 |
|
5-6 |
p. 1225-1228 4 p. |
artikel |
50 |
Efficient infrared emission from periodically patterned thin metal films on a Si photonic crystal
|
Theodoni, P. |
|
2008 |
|
5-6 |
p. 1112-1115 4 p. |
artikel |
51 |
Electrical and structural characterisation of single ZnO nanorods
|
Weimann, Th. |
|
2008 |
|
5-6 |
p. 1248-1252 5 p. |
artikel |
52 |
Electrical characterization of human mesenchymal stem cell growth on microelectrode
|
Cho, Sungbo |
|
2008 |
|
5-6 |
p. 1272-1274 3 p. |
artikel |
53 |
Electrical characterization of suspended Pt nanowires grown by EBID with water vapour assistance
|
Gazzadi, G.C. |
|
2008 |
|
5-6 |
p. 1166-1169 4 p. |
artikel |
54 |
Electrical detection of ovum membrane charges using biotransistor
|
Sakata, Toshiya |
|
2008 |
|
5-6 |
p. 1337-1340 4 p. |
artikel |
55 |
Electrical properties of ErSi2 nanowires formed on Si substrates
|
Yokoyama, Satoshi |
|
2008 |
|
5-6 |
p. 1253-1256 4 p. |
artikel |
56 |
Electron beam lithography of HSQ/PMMA bilayer resists for negative tone lift-off process
|
Yang, Haifang |
|
2008 |
|
5-6 |
p. 814-817 4 p. |
artikel |
57 |
Electron beam size determination based on an intelligent substrate
|
Weigand, Helmut |
|
2008 |
|
5-6 |
p. 1429-1432 4 p. |
artikel |
58 |
Electro-osmotic characteristics of Polystyrene microchips – Experiments and modeling
|
Schrott, Walter |
|
2008 |
|
5-6 |
p. 1100-1103 4 p. |
artikel |
59 |
Electrothermal microgrippers for pick-and-place operations
|
Andersen, Karin. N. |
|
2008 |
|
5-6 |
p. 1128-1130 3 p. |
artikel |
60 |
Epoxide functionalized molecular resists for high resolution electron-beam lithography
|
Lawson, Richard A. |
|
2008 |
|
5-6 |
p. 959-962 4 p. |
artikel |
61 |
Equalising stamp and substrate deformations in solid parallel-plate UV-based nanoimprint lithography
|
Bergmair, Iris |
|
2008 |
|
5-6 |
p. 822-824 3 p. |
artikel |
62 |
Etching of sub-micrometer structures through Stencil
|
Villanueva, G. |
|
2008 |
|
5-6 |
p. 1010-1014 5 p. |
artikel |
63 |
Evaluation of nanomechanical, nanotribological and adhesive properties of ultrathin polymer resist film by AFM
|
Koszewski, Adam |
|
2008 |
|
5-6 |
p. 1189-1192 4 p. |
artikel |
64 |
Evaluation of surface roughness of ULE® substrates machined by Ar+ ion beam
|
Kurashima, Yuichi |
|
2008 |
|
5-6 |
p. 1193-1196 4 p. |
artikel |
65 |
Evaporation based micro pump integrated into a scanning force microscope probe
|
Heuck, F. |
|
2008 |
|
5-6 |
p. 1302-1305 4 p. |
artikel |
66 |
Fabrication and characterization of plasmonic nanolens for applications in biophotonics
|
De Angelis, F. |
|
2008 |
|
5-6 |
p. 1286-1288 3 p. |
artikel |
67 |
Fabrication and characterization of Ta2O5 photonic feedback structures
|
Wahlbrink, T. |
|
2008 |
|
5-6 |
p. 1425-1428 4 p. |
artikel |
68 |
Fabrication of Bragg gratings with deep grooves in LiNbO3 ridge optical waveguide
|
Suzuki, A. |
|
2008 |
|
5-6 |
p. 1417-1420 4 p. |
artikel |
69 |
Fabrication of complex 3D structures using Step and Flash Imprint Lithography (S-FIL)
|
Kettle, J. |
|
2008 |
|
5-6 |
p. 853-855 3 p. |
artikel |
70 |
Fabrication of micro mold for hot-embossing of polyimide microfluidic platform by using electron beam lithography combined with inductively coupled plasma
|
Youn, Sung-Won |
|
2008 |
|
5-6 |
p. 918-921 4 p. |
artikel |
71 |
Fabrication of miniaturized Schottky emitter by wire electrical discharge machining (WEDM)
|
Dokania, A.K. |
|
2008 |
|
5-6 |
p. 1031-1034 4 p. |
artikel |
72 |
Fabrication of nano-gold islands with μm spacing using 2.5 dimensional PDMS stamps
|
Schwinger, Wolfgang |
|
2008 |
|
5-6 |
p. 1346-1349 4 p. |
artikel |
73 |
Fabrication of nano-hole array patterns on transparent conducting oxide layer using thermally curable nanoimprint lithography
|
Byeon, Kyeong-Jae |
|
2008 |
|
5-6 |
p. 830-833 4 p. |
artikel |
74 |
Fabrication of nanoimprint template in Si with high etch rate by non-switch DRIE process
|
Wang, Xudi |
|
2008 |
|
5-6 |
p. 1015-1017 3 p. |
artikel |
75 |
Fabrication of 22nm T-gates for HEMT applications
|
Bentley, S. |
|
2008 |
|
5-6 |
p. 1375-1378 4 p. |
artikel |
76 |
Fabrication of optical grayscale masks for tapered microfluidic devices
|
Nock, Volker |
|
2008 |
|
5-6 |
p. 1077-1082 6 p. |
artikel |
77 |
Fabrication of photonic components by nanoimprint technology within ePIXnet
|
Plachetka, U. |
|
2008 |
|
5-6 |
p. 886-889 4 p. |
artikel |
78 |
Fabrication of silicon dioxide nanochannel arrays without nanolithography for manipulation of DNA molecule
|
Cho, Y.H. |
|
2008 |
|
5-6 |
p. 1275-1277 3 p. |
artikel |
79 |
Fabrication of terahertz metamaterials using S1813/LOR stack by lift-off
|
Xia, Xiaoxiang |
|
2008 |
|
5-6 |
p. 1433-1436 4 p. |
artikel |
80 |
Fast thermal nanoimprint lithography by a stamp with integrated heater
|
Tormen, Massimo |
|
2008 |
|
5-6 |
p. 1229-1232 4 p. |
artikel |
81 |
Feasibility study of TFT-LCD array tester using low voltage micro-columns
|
Kim, H.S. |
|
2008 |
|
5-6 |
p. 782-786 5 p. |
artikel |
82 |
Field-effect transistors with thin ZnO as active layer for gas sensor applications
|
Farmakis, F.V. |
|
2008 |
|
5-6 |
p. 1035-1038 4 p. |
artikel |
83 |
Flare mitigation strategies in extreme ultraviolet lithography
|
Kim, Insung |
|
2008 |
|
5-6 |
p. 738-743 6 p. |
artikel |
84 |
Free-standing SU-8 subwavelength gratings fabricated by UV curing imprint
|
Wang, Xudi |
|
2008 |
|
5-6 |
p. 910-913 4 p. |
artikel |
85 |
High aspect ratio GaAs nanowires made by ICP-RIE etching using Cl2/N2 chemistry
|
Jalabert, Laurent |
|
2008 |
|
5-6 |
p. 1173-1178 6 p. |
artikel |
86 |
High aspect ratio micro/nano machining with proton beam writing on aqueous developable – easily stripped negative chemically-amplified resists
|
Chatzichristidi, M. |
|
2008 |
|
5-6 |
p. 945-948 4 p. |
artikel |
87 |
High-density plasma silicon oxide thin films grown at room-temperature
|
Vlachopoulou, M.E. |
|
2008 |
|
5-6 |
p. 1245-1247 3 p. |
artikel |
88 |
High etch selectivity for plasma etching SiO2 with AlN and Al2O3 masks
|
Kolari, K. |
|
2008 |
|
5-6 |
p. 985-987 3 p. |
artikel |
89 |
High resolution electron beam lithography of PMGI using solvent developers
|
Cui, Bo |
|
2008 |
|
5-6 |
p. 810-813 4 p. |
artikel |
90 |
High-speed fabrication of large-area nanostructured optical devices
|
Kurihara, Kazuma |
|
2008 |
|
5-6 |
p. 1197-1201 5 p. |
artikel |
91 |
Hybrid polymer/semiconductor microtubes: A new fabrication approach
|
Giordano, C. |
|
2008 |
|
5-6 |
p. 1170-1172 3 p. |
artikel |
92 |
Image reversal revisited
|
van Delft, F.C.M.J.M. |
|
2008 |
|
5-6 |
p. 1004-1009 6 p. |
artikel |
93 |
Imprintability of polymers for thermal nanoimprint
|
Scheer, H.-C. |
|
2008 |
|
5-6 |
p. 890-896 7 p. |
artikel |
94 |
Improved bi-layer lift-off process for MEMS applications
|
Liang, Jinxing |
|
2008 |
|
5-6 |
p. 1000-1003 4 p. |
artikel |
95 |
Improved glass–PDMS–glass device technology for accurate measurements of electro-osmotic mobilities
|
Plecis, Adrien |
|
2008 |
|
5-6 |
p. 1334-1336 3 p. |
artikel |
96 |
Improvement of high resolution lithography by using amorphous carbon hard mask
|
Pauliac-Vaujour, S. |
|
2008 |
|
5-6 |
p. 800-804 5 p. |
artikel |
97 |
Improvements to the alignment process in a commercial vector scan electron beam lithography tool
|
Docherty, K.E. |
|
2008 |
|
5-6 |
p. 761-763 3 p. |
artikel |
98 |
Improving the conductivity of platinum-containing nano-structures created by electron-beam-induced deposition
|
Botman, A. |
|
2008 |
|
5-6 |
p. 1139-1142 4 p. |
artikel |
99 |
In-line transmission electron microscopy for micro and nanotechnologies research and development
|
Delaye, V. |
|
2008 |
|
5-6 |
p. 1157-1161 5 p. |
artikel |
100 |
Inside Front Cover - Editorial Board
|
|
|
2008 |
|
5-6 |
p. IFC- 1 p. |
artikel |
101 |
In situ fabrication of a poly-acrylamide membrane in a microfluidic channel
|
Orhan, J.-B. |
|
2008 |
|
5-6 |
p. 1083-1085 3 p. |
artikel |
102 |
Inspection of EUVL mask blank defects and patterned masks using EUV photoemission electron microscopy
|
Lin, Jingquan |
|
2008 |
|
5-6 |
p. 922-924 3 p. |
artikel |
103 |
Integration of EBDW of one entire metal layer as substitution for optical lithography in 220nm node microcontrollers
|
Kretz, J. |
|
2008 |
|
5-6 |
p. 792-795 4 p. |
artikel |
104 |
Intrinsically conductive polymer thin film piezoresistors
|
Lillemose, M. |
|
2008 |
|
5-6 |
p. 969-971 3 p. |
artikel |
105 |
Investigation of high-resolution contact printing
|
Meliorisz, B. |
|
2008 |
|
5-6 |
p. 744-748 5 p. |
artikel |
106 |
Large area arrays of metal nanowires
|
Auzelyte, V. |
|
2008 |
|
5-6 |
p. 1131-1134 4 p. |
artikel |
107 |
Large asymmetries of magnetoresistance loops in Co-line structures
|
Christides, C. |
|
2008 |
|
5-6 |
p. 1382-1385 4 p. |
artikel |
108 |
Laser copper plasma X-ray source debris characterization
|
Huston, Dryver |
|
2008 |
|
5-6 |
p. 734-737 4 p. |
artikel |
109 |
Line edge roughness (LER) reduction strategy for SOI waveguides fabrication
|
Sardo, Stefano |
|
2008 |
|
5-6 |
p. 1210-1213 4 p. |
artikel |
110 |
Low aspect-ratio porous alumina templates
|
Kokonou, M. |
|
2008 |
|
5-6 |
p. 1186-1188 3 p. |
artikel |
111 |
Low damage ashing and etching processes for ion implanted resist and Si3N4 removal by ICP and RIE methods
|
Li, X. |
|
2008 |
|
5-6 |
p. 966-968 3 p. |
artikel |
112 |
Manufacturing substrate nano-grooves for studying cell alignment and adhesion
|
van Delft, F.C.M.J.M. |
|
2008 |
|
5-6 |
p. 1362-1366 5 p. |
artikel |
113 |
Measurement of demolding forces in full wafer thermal nanoimprint
|
Trabadelo, Vera |
|
2008 |
|
5-6 |
p. 907-909 3 p. |
artikel |
114 |
Measurement of the gauge factor of carbon fiber and its application to sensors
|
Kim, J.K. |
|
2008 |
|
5-6 |
p. 787-791 5 p. |
artikel |
115 |
Measurement of the resonant frequency of nano-scale cantilevers by hard contact readout
|
Dohn, S. |
|
2008 |
|
5-6 |
p. 1390-1394 5 p. |
artikel |
116 |
Microfluidic devices for optical determination of ethanol concentration
|
Lei, L. |
|
2008 |
|
5-6 |
p. 1318-1320 3 p. |
artikel |
117 |
Micro lens imprinted on Pyrex glass by using amorphous Ni–P alloy mold
|
Mekaru, Harutaka |
|
2008 |
|
5-6 |
p. 873-876 4 p. |
artikel |
118 |
Miniaturized PMMA ball-valve micropump with cylindrical electromagnetic actuator
|
Shen, Meng |
|
2008 |
|
5-6 |
p. 1104-1107 4 p. |
artikel |
119 |
Minimizing linewidth roughness in Step and Flash Imprint Lithography
|
Khusnatdinov, Niyaz |
|
2008 |
|
5-6 |
p. 856-860 5 p. |
artikel |
120 |
Monolithic silicon optocoupler engineering based on tapered waveguides
|
Misiakos, K. |
|
2008 |
|
5-6 |
p. 1074-1076 3 p. |
artikel |
121 |
Multiplex polymerase chain reaction (PCR) on a SU-8 chip
|
Christensen, Troels Balmer |
|
2008 |
|
5-6 |
p. 1278-1281 4 p. |
artikel |
122 |
Multi-reflection based on chip label free molecules detection
|
Billot, L. |
|
2008 |
|
5-6 |
p. 1269-1271 3 p. |
artikel |
123 |
Nano-dot and -pit arrays with a pitch of 25nm×25nm fabricated by EB drawing, RIE and nano-imprinting for 1Tb/in2 storage
|
Hosaka, Sumio |
|
2008 |
|
5-6 |
p. 774-777 4 p. |
artikel |
124 |
Nanofabrication of SiC templates for direct hot embossing for metallic photonic structures and meta materials
|
Chen, Yifang |
|
2008 |
|
5-6 |
p. 1147-1151 5 p. |
artikel |
125 |
Nanofabrication of spintronic devices with ultra small ferromagnetic contacts
|
Chen, Yifang |
|
2008 |
|
5-6 |
p. 1152-1156 5 p. |
artikel |
126 |
Nanoimprint for future non-volatile memory and logic devices
|
Meier, M. |
|
2008 |
|
5-6 |
p. 870-872 3 p. |
artikel |
127 |
Nanoindentation testing of SU-8 photoresist mechanical properties
|
Al-Halhouli, A.T. |
|
2008 |
|
5-6 |
p. 942-944 3 p. |
artikel |
128 |
Nanopatterning materials using area selective atomic layer deposition in conjunction with thermochemical surface modification via heated AFM cantilever probe lithography
|
Hua, Yueming |
|
2008 |
|
5-6 |
p. 934-936 3 p. |
artikel |
129 |
Nanophotonic crystals with chiral elements by a hot embossing process in SU-8
|
Lu, Bing-Rui |
|
2008 |
|
5-6 |
p. 866-869 4 p. |
artikel |
130 |
Nano-scale patterning using the roll typed UV-nanoimprint lithography tool
|
Lee, JaeJong |
|
2008 |
|
5-6 |
p. 861-865 5 p. |
artikel |
131 |
Nanostructured oxides on porous silicon microhotplates for NH3 sensing
|
Triantafyllopoulou, R. |
|
2008 |
|
5-6 |
p. 1116-1119 4 p. |
artikel |
132 |
Nanostructured substrates for high density protein arrays
|
Zoller, Frank A. |
|
2008 |
|
5-6 |
p. 1370-1374 5 p. |
artikel |
133 |
Narrow paths beyond limits of lithography
|
Zaborowski, Michał |
|
2008 |
|
5-6 |
p. 1257-1260 4 p. |
artikel |
134 |
New method for the precise flux calculation of neutrals for arbitrary surfaces in profile etch simulations
|
Hauguth, M. |
|
2008 |
|
5-6 |
p. 982-984 3 p. |
artikel |
135 |
193nm interference nanolithography based on SPP
|
Xiong, Wei |
|
2008 |
|
5-6 |
p. 754-757 4 p. |
artikel |
136 |
Novel methods to pattern polymers for microfluidics
|
Martin, C. |
|
2008 |
|
5-6 |
p. 972-975 4 p. |
artikel |
137 |
Novel microsystems for concentration gradient generation through computer optimization with validation using optical instrumentation
|
Abdulla Yusuf, Hayat |
|
2008 |
|
5-6 |
p. 1265-1268 4 p. |
artikel |
138 |
On-chip differentiation of human mesenchymal stem cells into adipocytes
|
Ni, X.F. |
|
2008 |
|
5-6 |
p. 1330-1333 4 p. |
artikel |
139 |
Optical lithography onto inside surfaces of small-diameter pipes
|
Horiuchi, Toshiyuki |
|
2008 |
|
5-6 |
p. 1043-1046 4 p. |
artikel |
140 |
Optimization of a novel micro-opto-X-ray imaging lens
|
Ostadi, H. |
|
2008 |
|
5-6 |
p. 1086-1088 3 p. |
artikel |
141 |
Optimization of poly-di-methyl-siloxane (PDMS) substrates for studying cellular adhesion and motility
|
Fuard, D. |
|
2008 |
|
5-6 |
p. 1289-1293 5 p. |
artikel |
142 |
Oriented spontaneously formed nano-structures on poly(dimethylsiloxane) films and stamps treated in O2 plasmas
|
Tsougeni, Katerina |
|
2008 |
|
5-6 |
p. 1233-1236 4 p. |
artikel |
143 |
Periodic sub-wavelength electron beam lithography defined photonic crystals for mode control in semiconductor lasers
|
DeRose, Guy A. |
|
2008 |
|
5-6 |
p. 758-760 3 p. |
artikel |
144 |
Plasma processing for polymeric microfluidics fabrication and surface modification: Effect of super-hydrophobic walls on electroosmotic flow
|
Vourdas, N. |
|
2008 |
|
5-6 |
p. 1124-1127 4 p. |
artikel |
145 |
Polyimide microcantilever surface stress sensor using low-cost, rapidly-interchangeable, spring-loaded microprobe connections
|
Ibbotson, R.H. |
|
2008 |
|
5-6 |
p. 1314-1317 4 p. |
artikel |
146 |
Polymers below the critical molecular weight for thermal imprint lithography
|
Bogdanski, Nicolas |
|
2008 |
|
5-6 |
p. 825-829 5 p. |
artikel |
147 |
Preface
|
Kristensen, Anders |
|
2008 |
|
5-6 |
p. 733- 1 p. |
artikel |
148 |
Pressure and resist thickness dependency of resist time evolutions profiles in nanoimprint lithography
|
Hirai, Yoshihiko |
|
2008 |
|
5-6 |
p. 842-845 4 p. |
artikel |
149 |
Processing effects on the dissolution properties of thin chemically amplified photoresist films
|
Drygiannakis, D. |
|
2008 |
|
5-6 |
p. 955-958 4 p. |
artikel |
150 |
Prospects for logic-on-a-wire
|
Moselund, K.E. |
|
2008 |
|
5-6 |
p. 1406-1409 4 p. |
artikel |
151 |
Real-time gripping detection for a mechanically actuated microgripper
|
Blideran, M.M. |
|
2008 |
|
5-6 |
p. 1022-1026 5 p. |
artikel |
152 |
Recent improvements in the integration of field emitters into scanning probe microscopy sensors
|
Beuer, S. |
|
2008 |
|
5-6 |
p. 1135-1138 4 p. |
artikel |
153 |
Rectifying behavior of individual SnO2 nanowire by different metal electrode contacts
|
Chen, Meimei |
|
2008 |
|
5-6 |
p. 1379-1381 3 p. |
artikel |
154 |
Residual layer thickness in nanoimprint: Experiments and coarse-grain simulation
|
Kehagias, Nikolaos |
|
2008 |
|
5-6 |
p. 846-849 4 p. |
artikel |
155 |
Resistivity measurements of gold wires fabricated by stencil lithography on flexible polymer substrates
|
Sidler, K. |
|
2008 |
|
5-6 |
p. 1108-1111 4 p. |
artikel |
156 |
RET simulations for SLM-based maskless lithography
|
Guo, XiaoWei |
|
2008 |
|
5-6 |
p. 929-933 5 p. |
artikel |
157 |
Reusability of nanostencils for the patterning of Aluminum nanostructures by selective wet etching
|
Vázquez-Mena, O. |
|
2008 |
|
5-6 |
p. 1237-1240 4 p. |
artikel |
158 |
Revised fabrication process for micro-fluxgate-magnetometers: Usage of electrodepositable photoresist
|
Kirchhoff, Maren R. |
|
2008 |
|
5-6 |
p. 1047-1049 3 p. |
artikel |
159 |
RF MEMS capacitive switch on semi-suspended CPW using low-loss high-resistivity silicon substrate
|
Fernández-Bolaños, M. |
|
2008 |
|
5-6 |
p. 1039-1042 4 p. |
artikel |
160 |
Schottky barrier heights of n/p-type erbium-silicided schottky diodes
|
Jun, Myungsim |
|
2008 |
|
5-6 |
p. 1395-1398 4 p. |
artikel |
161 |
Selective etching of III–V nanowires for molecular junctions
|
Kallesøe, Christian |
|
2008 |
|
5-6 |
p. 1179-1181 3 p. |
artikel |
162 |
Semi-empirical model for longitudinal piezoresistive sensitivity of microcantilevers
|
Lishchynska, Maryna |
|
2008 |
|
5-6 |
p. 1321-1325 5 p. |
artikel |
163 |
Silicon Fresnel zone plates for high heat load X-ray microscopy
|
Vila-Comamala, J. |
|
2008 |
|
5-6 |
p. 1241-1244 4 p. |
artikel |
164 |
Single-electron tunnelling via quantum dot cavities built on a silicon suspension nanobridge
|
Ogi, Jun |
|
2008 |
|
5-6 |
p. 1410-1412 3 p. |
artikel |
165 |
Stamp deformation and its influence on residual layer homogeneity in thermal nanoimprint lithography
|
Merino, S. |
|
2008 |
|
5-6 |
p. 877-880 4 p. |
artikel |
166 |
Standardized bio-opto-fluidic chip technology using channel only process
|
Ho, Lai-Fun |
|
2008 |
|
5-6 |
p. 1306-1310 5 p. |
artikel |
167 |
Static contact micro four-point probes with <11nm positioning repeatability
|
Petersen, Dirch H. |
|
2008 |
|
5-6 |
p. 1092-1095 4 p. |
artikel |
168 |
Step-and-scan maskless lithography for ultra large scale DNA chips
|
Negrete, Omar D. |
|
2008 |
|
5-6 |
p. 834-837 4 p. |
artikel |
169 |
Stochastic simulation studies of molecular resists for the 32nm technology node
|
Drygiannakis, D. |
|
2008 |
|
5-6 |
p. 949-954 6 p. |
artikel |
170 |
Swelling of cross-linked polystyrene beads in toluene
|
Zhang, R. |
|
2008 |
|
5-6 |
p. 1261-1264 4 p. |
artikel |
171 |
Table of Contents
|
|
|
2008 |
|
5-6 |
p. iii-xii nvt p. |
artikel |
172 |
The effect of direct PAG incorporation into the polymer main chain on reactive ion etch resistance of 193nm and EUV chemically amplified resists
|
Lee, Cheng-Tsung |
|
2008 |
|
5-6 |
p. 963-965 3 p. |
artikel |
173 |
Thermal conductivity measurements of low-k films using thermoreflectance phenomenon
|
Kuwahara, M. |
|
2008 |
|
5-6 |
p. 796-799 4 p. |
artikel |
174 |
Thermal oxidation of periodically aligned silicon square-spirals
|
Summers, M.A. |
|
2008 |
|
5-6 |
p. 1222-1224 3 p. |
artikel |
175 |
Thermo-resistance based micro-calorimeter for continuous chemical enthalpy measurements
|
Velve Casquillas, G. |
|
2008 |
|
5-6 |
p. 1367-1369 3 p. |
artikel |
176 |
Three-dimensional projection mask-less patterning (PMLP) of micro-lenses and cones: Monitoring and modelling of ion multi-beam kinetic sputtering in GaAs
|
van Delft, Falco C.M.J.M. |
|
2008 |
|
5-6 |
p. 937-941 5 p. |
artikel |
177 |
Topology optimized electrothermal polysilicon microgrippers
|
Sardan, Ozlem |
|
2008 |
|
5-6 |
p. 1096-1099 4 p. |
artikel |
178 |
Towards a LED based on a photonic crystal nanocavity for single photon sources at telecom wavelength
|
Francardi, M. |
|
2008 |
|
5-6 |
p. 1162-1165 4 p. |
artikel |
179 |
Towards fully polymeric MEMS: Fabrication and testing of PEDOT/PSS strain gauges
|
Lang, Udo |
|
2008 |
|
5-6 |
p. 1050-1053 4 p. |
artikel |
180 |
Towards 2–10nm electron-beam lithography: A quantitative approach
|
Sidorkin, V. |
|
2008 |
|
5-6 |
p. 805-809 5 p. |
artikel |
181 |
Vertical devices of self-assembled hybrid organic/inorganic monolayers based on tungsten polyoxometalates
|
Makarona, E. |
|
2008 |
|
5-6 |
p. 1399-1402 4 p. |
artikel |
182 |
Very high resolution etching of magnetic nanostructures in organic gases
|
Kong, X. |
|
2008 |
|
5-6 |
p. 988-991 4 p. |
artikel |
183 |
Visualizing stress in silicon micro cantilevers using scanning confocal Raman spectroscopy
|
Bauer, M. |
|
2008 |
|
5-6 |
p. 1443-1446 4 p. |
artikel |