Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             74 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Analysis of border and interfacial traps in ALD-Y2O3 and -Al2O3 on GaAs via electrical responses - A comparative study Chang, T.W.
2017
178 C p. 199-203
artikel
2 Analysis of the substrate bias effect on the interface trapped charges in junctionless nanowire transistors through low-frequency noise characterization Doria, Rodrigo Trevisoli
2017
178 C p. 17-20
artikel
3 A physically based model for resistive memories including a detailed temperature and variability description González-Cordero, G.
2017
178 C p. 26-29
artikel
4 Assessing the forming temperature role on amorphous and polycrystalline HfO2-based 4kbit RRAM arrays performance Perez, E.
2017
178 C p. 1-4
artikel
5 Asymmetric dielectric breakdown behavior in MgO based magnetic tunnel junctions Lim, J.H.
2017
178 C p. 308-312
artikel
6 Atomic and electronic structure of oxygen polyvacancies in ZrO2 Perevalov, T.V.
2017
178 C p. 275-278
artikel
7 Author Index 2017
178 C p. 318-324
artikel
8 Band offsets and metal contacts in monolayer black phosphorus Guo, Yuzheng
2017
178 C p. 108-111
artikel
9 Capacitance-voltage characterization of Al2O3/GaN-on-insulator (GaNOI) structures with TMAH surface treatment Im, Ki-Sik
2017
178 C p. 217-220
artikel
10 Characterization of 2DEG in AlGaN/GaN heterostructure by Hall effect Nifa, Iliass
2017
178 C p. 128-131
artikel
11 Defect correlated with positive charge trapping in functional HfO2 layers on (100)Si revealed by electron spin resonance: Evidence for oxygen vacancy? Stesmans, A.
2017
178 C p. 112-115
artikel
12 Defect creation in amorphous HfO2 facilitated by hole and electron injection Strand, Jack
2017
178 C p. 279-283
artikel
13 Demonstration of 2e12cm−2 eV−1 2D-oxide interface trap density on back-gated MoS2 flake devices with 2.5nm EOT Gaur, A.
2017
178 C p. 145-149
artikel
14 Determination of trap density in hafnia films produced by two atomic layer deposition techniques Islamov, D.R.
2017
178 C p. 104-107
artikel
15 Editorial Board 2017
178 C p. IFC
artikel
16 Effect of deposition technique on chemical bonding and amount of porogen residues in organosilicate glass Konashuk, A.
2017
178 C p. 209-212
artikel
17 Effect of double-stacked active layer on stability of Si-IZO thin-film transistor Lim, Yooseong
2017
178 C p. 221-224
artikel
18 Effect of the voltage ramp rate on the set and reset voltages of ReRAM devices Rodriguez-Fernandez, A.
2017
178 C p. 61-65
artikel
19 Effects of negative bias stress on trapping properties of AlGaN/GaN Schottky barrier diodes Ferrandis, Philippe
2017
178 C p. 158-163
artikel
20 Effects of ultra-shallow ion implantation from RF plasma onto electrical properties of 4H-SiC MIS structures with SiOx/HfOx and SiOxNy/HfOx double-gate dielectric stacks Mroczyński, Robert
2017
178 C p. 116-121
artikel
21 Efficient methodology to extract interface traps parameters for TCAD simulations Couso, C.
2017
178 C p. 66-70
artikel
22 Electrical characteristics of Ge buried channel FinFETs with interfacial layers treated by F/N/H-based plasma Li, Yan-Lin
2017
178 C p. 5-9
artikel
23 Electrical characterization of top-gated molybdenum disulfide field-effect-transistors with high-k dielectrics Bolshakov, Pavel
2017
178 C p. 190-193
artikel
24 Electronic transport parameters of indium zinc oxide thin films after Al2O3/HfO2 top-dielectric formation annealing Umana-Membreno, G.A.
2017
178 C p. 164-167
artikel
25 Electron transport across ultrathin ferroelectric Hf0.5Zr0.5O2 films on Si Chouprik, A.
2017
178 C p. 250-253
artikel
26 Enhanced electrical characteristics of FinFET by rapid-thermal-and-laser annealing with suitable power Ruan, Dun-Bao
2017
178 C p. 56-60
artikel
27 Enhanced reliability and capacitance stability of ZrO2-based decoupling capacitors by interface doping with Al2O3 Mart, C.
2017
178 C p. 254-257
artikel
28 Enhancement of effective dielectric constant using high-temperature mixed and sub-nano-laminated atomic layer deposited Y2O3/Al2O3 on GaAs(001) Lin, K.Y.
2017
178 C p. 271-274
artikel
29 Evaluation of energy distribution of filled defects of Si oxide thin films from total photoelectron yield spectroscopy Ohta, Akio
2017
178 C p. 85-88
artikel
30 Evidences of areal switching in Vacancy-Modulated Conductive Oxide (VMCO) memory Celano, Umberto
2017
178 C p. 122-124
artikel
31 Examining the relationship between capacitance-voltage hysteresis and accumulation frequency dispersion in InGaAs metal-oxide-semiconductor structures based on the response to post-metal annealing Lin, Jun
2017
178 C p. 204-208
artikel
32 Experimental band alignment of Ta2O5/GaN for MIS-HEMT applications Sawangsri, K.
2017
178 C p. 178-181
artikel
33 Extraction of the sub-band gap density of states of Nb doped ZnO thin film transistors using C-V measurements Shaw, A.
2017
178 C p. 213-216
artikel
34 Failure of Weibull distribution to represent switching statistics in OxRAM Raghavan, N.
2017
178 C p. 230-234
artikel
35 1/f and RTS noise in InGaAs nanowire MOSFETs Möhle, C.
2017
178 C p. 52-55
artikel
36 High-K metal gate stacks with ultra-thin interfacial layers formed by low temperature microwave-based plasma oxidation Czernohorsky, M.
2017
178 C p. 262-265
artikel
37 Hole trapping in amorphous HfO2 and Al2O3 as a source of positive charging Strand, Jack
2017
178 C p. 235-239
artikel
38 Impact of AlN layer sandwiched between the GaN and the Al2O3 layers on the performance and reliability of recessed AlGaN/GaN MOS-HEMTs Acurio, E.
2017
178 C p. 42-47
artikel
39 Impact of gate impedance on dielectric breakdown evaluation for 28nm FDSOI transistors Diab, Amer
2017
178 C p. 21-25
artikel
40 Impact of the HfO2/Al2O3 stacking order on unipolar RRAM devices Mallol, M.M.
2017
178 C p. 168-172
artikel
41 Improved leakage current and device uniformity for sub-20nm N-FinFETs by cryogenic Ge pre-amorphization implant in contact Chou, Chuan-Pu
2017
178 C p. 137-140
artikel
42 Improved performance of gate-last FDSOI tunnel field-effect-transistors (TFETs) with modulating Al2O3 composition in atomic layer deposited HfAlOx gate dielectrics Lim, Donghwan
2017
178 C p. 266-270
artikel
43 Insulating Films on Semiconductors (INFOS 2017) Dąbrowski, Jarek
2017
178 C p. A1
artikel
44 Interaction of work function tuning and negative bias temperature instability for future nodes Pantisano, Luigi
2017
178 C p. 258-261
artikel
45 Interface state generation of Al2O3/InGaAs MOS structures by electrical stress Yoon, S.-H.
2017
178 C p. 313-317
artikel
46 Intrinsic resistance switching in amorphous silicon oxide for high performance SiOx ReRAM devices Mehonic, A.
2017
178 C p. 98-103
artikel
47 Investigation of origins of the critically different MOS interface characteristics between dry-oxidized and wet-oxidized silicon carbide Kita, Koji
2017
178 C p. 186-189
artikel
48 Metal- and oxide-related hydrogen-induced dipoles at the Pt/HfO2 interface Kolomiiets, N.M.
2017
178 C p. 304-307
artikel
49 Modeling of uniform switching RRAM devices and impact of critical defects Subhechha, S.
2017
178 C p. 93-97
artikel
50 MOSFET degradation dependence on input signal power in a RF power amplifier Crespo-Yepes, A.
2017
178 C p. 289-292
artikel
51 Opportunity of dipole layer formation at non-SiO2 dielectric interfaces in two cases: Multi-cation systems and multi-anion systems Fei, Jiayang
2017
178 C p. 225-229
artikel
52 Optimizing process conditions for improved Hf1−xZrxO2 ferroelectric capacitor performance Mittmann, Terence
2017
178 C p. 48-51
artikel
53 Oxidation-induced electron barrier enhancement at interfaces of Ge-based semiconductors (Ge, Ge1−xSnx, SiyGe1−x−ySnx) with Al2O3 Afanas'ev, V.V.
2017
178 C p. 141-144
artikel
54 Potential changes and chemical bonding features for Si-MOS structure as evaluated from HAXPES analysis Ohta, Akio
2017
178 C p. 80-84
artikel
55 Quantitative retention model for filamentary oxide-based resistive RAM Degraeve, R.
2017
178 C p. 38-41
artikel
56 Raman study of lysozyme amyloid fibrils suspended on super-hydrophobic surfaces by shear flow Moretti, Manola
2017
178 C p. 194-198
artikel
57 Reduction of slow trap density of Al2O3/GeOx/n-Ge MOS interfaces by inserting ultrathin Y2O3 interfacial layers Ke, M.
2017
178 C p. 132-136
artikel
58 Resistive switching in MIM structure based on overstoichiometric tantalum oxide Kuzmichev, D.S.
2017
178 C p. 150-153
artikel
59 Role of metal nanocrystals on the breakdown statistics of flash memory high-κ stacks Feng, X.
2017
178 C p. 293-297
artikel
60 RRAM serial configuration for the generation of random bits Arumí, D.
2017
178 C p. 76-79
artikel
61 Semipolar AlN on Si(100): Technology and properties Bessolov, V.
2017
178 C p. 34-37
artikel
62 Simulations of transient processes and characteristics of the nc-MOS structures Tanous, D.
2017
178 C p. 173-177
artikel
63 Single-crystal hexagonal perovskite YAlO3 epitaxially on GaAs(111)A and (001) using atomic layer deposition Cheng, C.K.
2017
178 C p. 125-127
artikel
64 Single-trap analysis of hot-carrier-induced gate oxide degradation in Flash memory cells Tkachev, Yuri
2017
178 C p. 71-75
artikel
65 Spatial analysis of failure sites in large area MIM capacitors using wavelets Muñoz-Gorriz, J.
2017
178 C p. 10-16
artikel
66 Study of the admittance hysteresis cycles in TiN/Ti/HfO2/W-based RRAM devices Dueñas, S.
2017
178 C p. 30-33
artikel
67 Suppressed charge trapping characteristics of (NH4)2Sx passivated GaN MOS device with atomic layer deposited HfAlOx gate dielectric Han, Hoon Hee
2017
178 C p. 240-244
artikel
68 Table of Contents 2017
178 C p. v-viii
artikel
69 Technology and characterization of MIS structures with co-doped silicon nanocrystals (Si-NCs) embedded in hafnium oxide (HfOx) ultra-thin layers Mazurak, A.
2017
178 C p. 298-303
artikel
70 The effects of process temperature on the work function modulation of ALD HfO2 MOS device with plasma enhanced ALD TiN metal gate using TDMAT precursor Kim, Young Jin
2017
178 C p. 284-288
artikel
71 Tuning the conductivity of resistive switching devices for electronic synapses Pedro, M.
2017
178 C p. 89-92
artikel
72 Ultra-high thermal stability and extremely low D it on HfO2/p-GaAs(001) interface Wan, H.W.
2017
178 C p. 154-157
artikel
73 Ultra-low power 1T-DRAM in FDSOI technology El Dirani, H.
2017
178 C p. 245-249
artikel
74 Understanding of frequency dispersion in C-V curves of metal-oxide-semiconductor capacitor with wide-bandgap semiconductor Taoka, Noriyuki
2017
178 C p. 182-185
artikel
                             74 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland