Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             68 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Active species in porous media: Random walk and capture in traps Arkhincheev, V.E.
2011
88 5 p. 694-696
3 p.
artikel
2 ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems Waechtler, Thomas
2011
88 5 p. 684-689
6 p.
artikel
3 Analysis by simulation of amorphization current in phase change memory applied to pillar and GST confined type cells Cueto, O.
2011
88 5 p. 827-832
6 p.
artikel
4 Annealing effect on the metal gate effective work function modulation for the Al/TiN/SiO2/p-Si structure Wang, Xiao-Rong
2011
88 5 p. 573-577
5 p.
artikel
5 Back-end-of-line compatible Conductive Bridging RAM based on Cu and SiO2 Bernard, Y.
2011
88 5 p. 814-816
3 p.
artikel
6 Barrier and seed repair performance of thin RuTa films for Cu interconnects Volders, H.
2011
88 5 p. 690-693
4 p.
artikel
7 Chalcogenide materials and their application to Non-Volatile Memories Sousa, Véronique
2011
88 5 p. 807-813
7 p.
artikel
8 Characteristics of eco-friendly synthesized SiO2 dielectric nanoparticles printed on Si substrate Kim, Jong-Woong
2011
88 5 p. 797-801
5 p.
artikel
9 Characterization and modeling of RF substrate coupling effects in 3D integrated circuit stacking Eid, E.
2011
88 5 p. 729-733
5 p.
artikel
10 Characterization of high-K/metal gate using picosecond ultrasonics Hsieh, D.B.
2011
88 5 p. 583-588
6 p.
artikel
11 Comparison of PVD, PECVD & PEALD Ru(-C) films as Cu diffusion barriers by means of bias temperature stress measurements Wojcik, H.
2011
88 5 p. 641-645
5 p.
artikel
12 Comparison of radio frequency physical vapor deposition target material used for LaO x cap layer deposition in 32nm NMOSFETs Baudot, S.
2011
88 5 p. 569-572
4 p.
artikel
13 Copper electroplating into deep microvias for the “SiP” application Fang, Cheng
2011
88 5 p. 749-753
5 p.
artikel
14 Copper plating for 3D interconnects Radisic, A.
2011
88 5 p. 701-704
4 p.
artikel
15 Crystalline orientation dependence of electrical properties of Mn Germanide/Ge(111) and (001) Schottky contacts Nishimura, Tsuyoshi
2011
88 5 p. 605-609
5 p.
artikel
16 Cu contact on NiSi/Si with thin Ru/TaN barrier Zhao, Ying
2011
88 5 p. 545-547
3 p.
artikel
17 Effect of heat treatment on physical and electrical characteristics of conductive circuits printed on Si substrate Kim, Jong-Woong
2011
88 5 p. 791-796
6 p.
artikel
18 Effect of Ta addition on magnetic properties of (Fe45Pt55)1− x –Ta x thin films Jang, Sung-Uk
2011
88 5 p. 589-592
4 p.
artikel
19 Effect of thermal treatment on adhesion strength of Cu/Ni–Cr/polyimide flexible copper clad laminate fabricated by roll-to-roll process Noh, Bo-In
2011
88 5 p. 718-723
6 p.
artikel
20 Effects of fluoride residue on Cu agglomeration in Cu/low-k interconnects Kobayashi, Y.
2011
88 5 p. 620-622
3 p.
artikel
21 Effects of post-annealing on thermoelectric properties of bismuth–tellurium thin films deposited by co-sputtering Jeon, Seong-jae
2011
88 5 p. 541-544
4 p.
artikel
22 Electrical characterization and morphological properties of AlN films prepared by dc reactive magnetron sputtering Moreira, M.A.
2011
88 5 p. 802-806
5 p.
artikel
23 Evaluating k-values for low-k materials after damascene integration: Method and results Vereecke, B.
2011
88 5 p. 651-655
5 p.
artikel
24 Gas flow simulations of a fluxless Si solder bonding oven Illés, Balázs
2011
88 5 p. 760-766
7 p.
artikel
25 Gate shadowing effect on Ni(Pt)Si abnormal diffusion for sub-45nm technologies Gregoire, M.
2011
88 5 p. 548-552
5 p.
artikel
26 GeTe phase change material and Ti based electrode: Study of thermal stability and adhesion Loubriat, S.
2011
88 5 p. 817-821
5 p.
artikel
27 How to evaluate surface free energies of dense and ultra low-κ dielectrics in pattern structures Oszinda, Thomas
2011
88 5 p. 680-683
4 p.
artikel
28 Impact of “terminal effect” on Cu electrochemical deposition: Filling capability for different metallization options Armini, Silvia
2011
88 5 p. 754-759
6 p.
artikel
29 Influence of current density on mechanical reliability of Sn–3.5Ag BGA solder joint Ha, Sang-Su
2011
88 5 p. 709-714
6 p.
artikel
30 Influence of different SiC surface treatments performed prior to Ni ohmic contacts formation Cichoň, Stanislav
2011
88 5 p. 553-556
4 p.
artikel
31 Influence of the additives argon, O2, C4F8, H2, N2 and CO on plasma conditions and process results during the etch of SiCOH in CF4 plasma Zimmermann, S.
2011
88 5 p. 671-676
6 p.
artikel
32 Innovative scheme for selective carbon nanotubes integration in via structures Fayolle, M.
2011
88 5 p. 833-836
4 p.
artikel
33 Inside Front Cover - Editorial Board 2011
88 5 p. IFC-
1 p.
artikel
34 In situ ellipsometry of porous low-dielectric constant films in supercritical carbon dioxide Kondoh, Eiichi
2011
88 5 p. 623-626
4 p.
artikel
35 Integrated diffusion–recombination model for describing the logarithmic time dependence of plasma damage in porous low-k materials Kunnen, E.
2011
88 5 p. 631-634
4 p.
artikel
36 Integration and electrical characterization of carbon nanotube via interconnects Chiodarelli, Nicolo’
2011
88 5 p. 837-843
7 p.
artikel
37 Integration challenges of copper Through Silicon Via (TSV) metallization for 3D-stacked IC integration Olmen, J. Van
2011
88 5 p. 745-748
4 p.
artikel
38 Interconnect reliability – A study of the effect of dimensional and porosity scaling Croes, Kristof
2011
88 5 p. 614-619
6 p.
artikel
39 Interfacial delamination investigation between copper bumps in 3D chip stacking package by using the modified virtual crack closure technique Wu, C.J.
2011
88 5 p. 739-744
6 p.
artikel
40 Investigations regarding Through Silicon Via filling for 3D integration by Periodic Pulse Reverse plating with and without additives Hofmann, Lutz
2011
88 5 p. 705-708
4 p.
artikel
41 Life prediction of HCPV under thermal cycling test condition Chiang, Shih-Ying
2011
88 5 p. 785-790
6 p.
artikel
42 Mechanical characterization of aluminium nanofilms Guisbiers, G.
2011
88 5 p. 844-847
4 p.
artikel
43 Microstructure and stress in high-k Hf–Y–O thin films Gluch, J.
2011
88 5 p. 561-563
3 p.
artikel
44 Microstructure and texture analysis of narrow copper line versus widths and annealing for reliability improvement Galand, R.
2011
88 5 p. 661-665
5 p.
artikel
45 Multilink structure for fast determination of electromigration threshold product Petitprez, E.
2011
88 5 p. 610-613
4 p.
artikel
46 New carbon-based thermal stability improvement technique for NiPtSi used in CMOS technology Ortolland, C.
2011
88 5 p. 578-582
5 p.
artikel
47 New generation of Self Ionized Plasma copper seed for sub 40nm nodes Guillan, J.
2011
88 5 p. 697-700
4 p.
artikel
48 Optimal design for micro-thermoelectric generators using finite element analysis Jang, Bongkyun
2011
88 5 p. 775-778
4 p.
artikel
49 Optimization of ohmic contact and adhesion on polysilicon in MEMS–NEMS wet etching process Herth, E.
2011
88 5 p. 724-728
5 p.
artikel
50 Phase change memory alloys: GST cell array characterization using picosecond ultrasonics Ren, W.
2011
88 5 p. 822-826
5 p.
artikel
51 Phase formation and texture of nickel silicides on Si1− x C x epilayers De Keyser, K.
2011
88 5 p. 536-540
5 p.
artikel
52 Preface Travaly, Youssef
2011
88 5 p. 535-
1 p.
artikel
53 Pt/Al stacked metals gate MESFET Huang, W.C.
2011
88 5 p. 601-604
4 p.
artikel
54 Quality factor and frequency bandwidth of 2D self-inductors in 3D integration stacks Roullard, J.
2011
88 5 p. 734-738
5 p.
artikel
55 Sputtered Ru–Ti, Ru–N and Ru–Ti–N films as Cu diffusion barrier Li, Ji
2011
88 5 p. 635-640
6 p.
artikel
56 Table of Contents 2011
88 5 p. v-viii
nvt p.
artikel
57 TaCN growth with PDMAT and H2/Ar plasma by plasma enhanced atomic layer deposition Xie, Qi
2011
88 5 p. 646-650
5 p.
artikel
58 Texture characterization of Cu interconnects with different Ta-based sidewall diffusion barriers Wilson, Christopher J.
2011
88 5 p. 656-660
5 p.
artikel
59 The current–voltage–temperature characteristics of Al/NPB/p-Si contact Huang, Wen-Chang
2011
88 5 p. 597-600
4 p.
artikel
60 The influence of dopant species on thermal stability of NiSi film Kimura, Hiroshi
2011
88 5 p. 557-560
4 p.
artikel
61 The influence of N containing plasmas on low-k films Verdonck, P.
2011
88 5 p. 627-630
4 p.
artikel
62 Thermoelectric properties of n-type Bi–Te thin films with various compositions Lee, H.J.
2011
88 5 p. 593-596
4 p.
artikel
63 Thermo-mechanical analysis and interfacial energy release rate estimation for metal–insulator–metal capacitor device Hsieh, Ming-Che
2011
88 5 p. 779-784
6 p.
artikel
64 ToF–SIMS and XPS study of ion implanted 248nm deep ultraviolet (DUV) photoresist Franquet, A.
2011
88 5 p. 677-679
3 p.
artikel
65 Ultrasonic bonding for multi-chip packaging bonded with non-conductive film Lee, Jong-Bum
2011
88 5 p. 715-717
3 p.
artikel
66 Wideband frequency and in situ characterization of aluminum nitride (AlN) in a metal/insulator/metal (MIM) configuration Bertaud, T.
2011
88 5 p. 564-568
5 p.
artikel
67 Wireless inter-chip interconnects Kikkawa, Takamaro
2011
88 5 p. 767-774
8 p.
artikel
68 Young’s modulus evaluation by SAWs for porous silica low-k film with cesium doping Xiao, X.
2011
88 5 p. 666-670
5 p.
artikel
                             68 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland