Digital Library
Close Browse articles from a journal
     Journal description
       All volumes of the corresponding journal
         All issues of the corresponding volume
                                       All articles of the corresponding issues
 
                             60 results found
no title author magazine year volume issue page(s) type
1 Addendum:“Generalization of Einstein relation for organic semiconductor thin films” [Material Science in Semiconductor Processing 8 (2005) 525–530] Peng, Y.Q.
2005
5 p. 613-
1 p.
article
2 Analysis of the properties of germanium/zinc silicate film growth through a simple thermal evaporation technique for hydrogen gas sensing and deep UV photodetector application Muzafa Jumidali, Mohd
2013
5 p. 1360-1364
5 p.
article
3 A study of atypical grain growth properties for SnO2 thin films Min, Soon
2013
5 p. 1267-1270
4 p.
article
4 Band gap in tungsten sulphoselenide single crystals determined by the optical absorption method Gujarathi, D.N.
2005
5 p. 576-586
11 p.
article
5 Characterization of nanocrystalline PbS thin films prepared using microwave-assisted chemical bath deposition Obaid, A.S.
2012
5 p. 564-571
8 p.
article
6 Characterization of ZrTiO4 thin films prepared by sol–gel method Hsu, Cheng-Hsing
2013
5 p. 1262-1266
5 p.
article
7 Characterizations of solid-state microwave-synthesized Sb2Te3-based alloys with various compositions of bismuth in Bi2x Sb2(1−x)Te3 Kadhim, A.
2012
5 p. 549-554
6 p.
article
8 Dependence of annealing on stability of transparent amorphous InGaZnO thin film transistor Li, Xifeng
2013
5 p. 1292-1296
5 p.
article
9 Design considerations of source and drain regions in nano double gate MOSFETs Orouji, Ali A.
2012
5 p. 572-577
6 p.
article
10 Editorial Board 2005
5 p. CO2-
1 p.
article
11 Effect of different sputtering gas mixtures on the structural, electrical, and optical properties of p-type NiO thin films Oh, Joon-Ho
2013
5 p. 1346-1351
6 p.
article
12 Effect of NaOH solution on surface textured ZnO: Al films prepared by pulsed direct current magnetron sputtering Wang, Ying
2012
5 p. 555-558
4 p.
article
13 Effect of zinc doping and temperature on the properties of sprayed CuInS2 thin films Mahendran, C.
2012
5 p. 522-530
9 p.
article
14 Epitaxial electrodeposition of lead selenide films on indium phosphide single crystals Beaunier, L.
2001
5 p. 433-436
4 p.
article
15 Evaluation of lateral barrier height of inhomogeneous photolithography-fabricated Au/n-GaAs Schottky barrier diodes from 80K to 320K Korucu, D.
2012
5 p. 480-485
6 p.
article
16 Evaluation of the hydrostatic pressure effect on Mn/p-Si Schottky barrier diode electrical parameters and interface states Fiat, Songül
2012
5 p. 461-466
6 p.
article
17 Fabrication of ultra-shallow junctions with high electrical activation by excimer laser annealing Fortunato, G
2001
5 p. 417-423
7 p.
article
18 Formation of NiCoSi x silicide by thermal annealing of Ni/Co bilayer on Si substrate Song, Ohsung
2005
5 p. 608-612
5 p.
article
19 Full quantum simulation study of a nano tri-material double gate silicon-on-insulator MOSFET Arefinia, Zahra
2013
5 p. 1240-1247
8 p.
article
20 High performance dye-sensitized solar cells (DSSCs) achieved via electrophoretic technique by optimizing of photoelectrode properties Hamadanian, Masood
2013
5 p. 1352-1359
8 p.
article
21 Hot-wire vapor deposited tungsten and molybdenum oxide films used for carrier injection/transport in organic optoelectronic devices Vasilopoulou, Maria
2013
5 p. 1196-1216
21 p.
article
22 Influence of cadmium concentration on the optical and structural properties of cadmium selenide thin films Khudiar, Ausama I.
2012
5 p. 536-542
7 p.
article
23 Influence of molar concentration on the physical properties of nebulizer-sprayed ZnO thin films for ammonia gas sensor Mariappan, R.
2013
5 p. 1328-1335
8 p.
article
24 Influence of N2 and O2 annealing treatment on the optical bandgap of polycrystalline Ga2O3:Cu films Cheng, Yi
2013
5 p. 1303-1307
5 p.
article
25 Investigation of the Electrical and Thermal Performance of SOI MOSFETs with Modified Channel Engineering Rahimian, Morteza
2013
5 p. 1248-1256
9 p.
article
26 Investigation on switching behavior of ZrO2 thin film for memory device applications Choi, Kyung-Hyun
2013
5 p. 1285-1291
7 p.
article
27 Journal select 2001
5 p. 443-
1 p.
article
28 Low temperature electrical resistivity of polycrystalline La0.67Sr0.33MnO3 thin films Narjis, A.
2013
5 p. 1257-1261
5 p.
article
29 Manganese oxide thin-films for current-signal sensing and thermal insulation Baca, Roberto
2013
5 p. 1280-1284
5 p.
article
30 Modeling the effect of thin gate insulators (SiO2, SiN, Al2O3 and HfO2) on AlGaN/GaN HEMT forward characteristics grown on Si, sapphire and SiC Pérez-Tomás, A.
2013
5 p. 1336-1345
10 p.
article
31 Molecular dynamics study of copper trench filling in damascene process Hong, R.T.
2005
5 p. 587-601
15 p.
article
32 Morphological changes of the Si [100] surface after treatment with concentrated and diluted HF Palermo, V.
2001
5 p. 437-441
5 p.
article
33 Morphology-control of crystallites precipitated from ZnO gel films by applying electric field during hot-water treatment Matsuda, Atsunori
2013
5 p. 1232-1239
8 p.
article
34 Non-ohmic properties and impulse aging behavior of quaternary ZnO–V2O5–Mn3O4–Er2O3 semiconducting varistors with sintering processing Nahm, Choon-Woo
2013
5 p. 1308-1315
8 p.
article
35 Numerical calculation model of a single wafer wet etcher using a swinging nozzle Habuka, Hitoshi
2012
5 p. 543-548
6 p.
article
36 PatentsALERT 2001
5 p. 445-447
3 p.
article
37 Photo-assisted metal-organic chemical vapor deposition of CaCu3Ti4O12 (CCTO) thin films Gupta, N.
2013
5 p. 1297-1302
6 p.
article
38 Pulsed laser deposition and characterization of La1−x Sr x MnO3 Calderón V, S.
2012
5 p. 492-498
7 p.
article
39 Recent development of gallium oxide thin film on GaN Oon, Hooi Shy
2013
5 p. 1217-1231
15 p.
article
40 Recessed p-buffer layer SiC MESFET: A novel device for improving DC and RF characteristics Razavi, S.M.
2012
5 p. 516-521
6 p.
article
41 Review of preparation and optoelectronic characteristics of Cu2O-based solar cells with nanostructure Chen, Lung-Chien
2013
5 p. 1172-1185
14 p.
article
42 Stress effects on defects and dopant diffusion in Si Aziz, Michael J.
2001
5 p. 397-403
7 p.
article
43 Structural characterization of Al x Ga1−x Sb grown by LPE Juárez Díaz, G.
2012
5 p. 472-479
8 p.
article
44 Structural, electronic and elastic properties of wurtzite-structured Tl x Al1−x N alloys from first principles Shi, Liwei
2012
5 p. 499-504
6 p.
article
45 Structural properties of β-Ga2O3 formed by dry thermal oxidization process on GaN Wei, Wei
2012
5 p. 578-581
4 p.
article
46 Study of structural and luminescent properties of high-quality ZnO thin films treatment with hydrogen peroxide solution Chen, Yufeng
2005
5 p. 569-575
7 p.
article
47 Swift heavy ion irradiation induced modification of electrical characteristics of Au/n-Si Schottky barrier diode Singh, R.
2001
5 p. 425-432
8 p.
article
48 Synthesis and characterization of sol-processed α-MnO2 nanostructures Ramarajan, D.
2012
5 p. 559-563
5 p.
article
49 Synthesis and properties of Fe3O4/SiO2/TiO2 nanocomposites by hydrothermal synthetic method Fan, Yanhua
2012
5 p. 582-585
4 p.
article
50 Synthesis of CuInSe2 monodisperse nanoparticles and the nanorings shape evolution via a green solution reaction route Wang, Wen-Jun
2012
5 p. 467-471
5 p.
article
51 Synthesis of Sn doped ZnO/TiO2 nanocomposite film and their application to H2 gas sensing properties Benkara, S.
2013
5 p. 1271-1279
9 p.
article
52 Temperature and hydrostatic pressure dependence of the electronic structure of Al x Ga1−x As alloys Degheidy, Abdel Razik
2012
5 p. 505-515
11 p.
article
53 Temperature dependence of electrical properties for MOS capacitor with HfO2/SiO2 gate dielectric stack Yu, T.
2013
5 p. 1321-1327
7 p.
article
54 The role of the transition function in a continuum model for kinetic roughening and coarsening in thin films Stein, Oliver
2001
5 p. 405-416
12 p.
article
55 The temperature dependent analysis of Au/TiO2 (rutile)/n-Si (MIS) SBDs using current–voltage–temperature (I–V–T) characteristics Kınacı, Barış
2012
5 p. 531-535
5 p.
article
56 Thickness dependent structural and optical properties of In/Te bilayer thin films Matheswaran, P.
2012
5 p. 486-491
6 p.
article
57 This is one of a series of Special Topical Issues published in Materials Science in Semiconductor Processing, focusing on Advanced Oxides for Electronics Cheong, K.Y.
2013
5 p. 1171-
1 p.
article
58 TiO2-based structures for nanoscale memory applications Fröhlich, K.
2013
5 p. 1186-1195
10 p.
article
59 Vertically aligned ZnO nanowires prepared by thermal oxidation of RF magnetron sputtered metallic zinc films Chao, Liang-Chiun
2013
5 p. 1316-1320
5 p.
article
60 WSi x /WN/polysilicon DRAM gate stack with a 50Å WN layer as a diffusion barrier and an etch stop Lee, Heon
2005
5 p. 602-607
6 p.
article
                             60 results found
 
 Koninklijke Bibliotheek - National Library of the Netherlands