Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             130 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Accuracy of structure transfer in deep X-ray lithography Feiertag, G.
1997
35 1-4 p. 557-560
artikel
2 Acid and base diffusion in chemically amplified DUV resists Itani, T.
1997
35 1-4 p. 149-152
artikel
3 AFM-based fabrication of lateral single-electron tunneling structures for elevated temperature operation Montelius, L.
1997
35 1-4 p. 281-284
artikel
4 Alignment accuracy evaluation of the X-ray stepper SS-1 for processed wafers Suzuki, M.
1997
35 1-4 p. 537-540
artikel
5 Analysis of side-lobe printability in sub-half-micron contact hole definition Martin, Brian
1997
35 1-4 p. 197-200
artikel
6 Analysis of stencil mask distortion in ion projection lithography Didenko, L.
1997
35 1-4 p. 443-446
artikel
7 A nano-composite resist system: A new approach to nanometer pattern fabrication Ishii, T.
1997
35 1-4 p. 113-116
artikel
8 A new alignment technique for steppers: Hybrid marks® Auzino, L.
1997
35 1-4 p. 225-228
artikel
9 A new approach to the cap layer thinning of GaAs based heterostructures with near surface quantum wells Borzenko, T.B.
1997
35 1-4 p. 83-86
artikel
10 A new fabrication process for metallic point contacts Gribov, N.N.
1997
35 1-4 p. 317-320
artikel
11 A new positive DUV photoresist optimized for 0.25 μm isolated lines Lindsay, T.
1997
35 1-4 p. 109-112
artikel
12 An investigation of various post-RIE cleaning processes for dry etched InP-based HEMTs Duran, H.C.
1997
35 1-4 p. 67-70
artikel
13 Anisotropic etching of inverted pyramids in the sub-100 nm region Hantschel, T.
1997
35 1-4 p. 405-407
artikel
14 Anisotropic pattern transfer of fine resist features to silicon nitride via an intermediate titanium layer Midha, A.
1997
35 1-4 p. 99-102
artikel
15 An MOS transistor with Schottky source/drain contacts and a self-aligned low-resistance T-gate Rishton, S.A.
1997
35 1-4 p. 361-363
artikel
16 A novel method of optical proximity correction using anti-reflective layers and individual photoresist characteristics Arthur, G.
1997
35 1-4 p. 185-188
artikel
17 A novel X-ray mask concept for mex&match lithography fabrication of MOS devices by synchrotron radiation lithography Di Fabrizio, E.
1997
35 1-4 p. 553-556
artikel
18 A one-dimensional demonstration of spatial-phase-locked electron-beam lithography Goodberlet, J.
1997
35 1-4 p. 473-476
artikel
19 Application of X-ray mask fabrication technologies to high resolution, large diameter Ta Fresnel zone plates Ozawa, A.
1997
35 1-4 p. 525-529
artikel
20 Author index volume 35 1997
35 1-4 p. 565-580
artikel
21 Bottom Anti-Reflective Coatings for DUV lithography: Determination of optimum thermal process conditions Schiltz, A.
1997
35 1-4 p. 221-224
artikel
22 BSM 7: RIE lag in high aspect ratio trench etching of silicon Jansen, Henri
1997
35 1-4 p. 45-50
artikel
23 Bulk silicon micromachining using porous silicon sacrificial layers Kaltsas, G.
1997
35 1-4 p. 397-400
artikel
24 Calixarenes-prospective materials for nanofabrications- Ohnishi, Y.
1997
35 1-4 p. 117-120
artikel
25 Chemical gas etching of InP-based structures Maximov, I.
1997
35 1-4 p. 87-89
artikel
26 Chemical vs. physical factors in dry etching induced damage in the Si GexSi1-x system van Veen, R.G.
1997
35 1-4 p. 55-58
artikel
27 Comparative study of the characteristics of octavinylsilsesquioxane dry resist in ultraviolet-, electron-beam and X-ray exposure Schmidt, A.
1997
35 1-4 p. 129-132
artikel
28 Comparing ion damage in GaAs and InP Yu, D.G.
1997
35 1-4 p. 95-98
artikel
29 Copper dry etching technique for ULSI interconnections Markert, Matthias
1997
35 1-4 p. 333-336
artikel
30 Deep, three dimensional lithography with a laser-plasma X-ray source at 1nm wavelength Turcu, ICE
1997
35 1-4 p. 541-544
artikel
31 Development and characterization of nitride and oxide based composite materials for sub 0.18 μm attenuated phase shift masking Smith, Bruce W.
1997
35 1-4 p. 201-204
artikel
32 Development behaviour of irradiated microstructures Zanghellini, J.
1997
35 1-4 p. 409-412
artikel
33 Development of a 100 nm gate power HEMT using four-layer resist and flexible e-beam exposure strategies Frijlink, P.M.
1997
35 1-4 p. 313-316
artikel
34 Digital Pattern Generator for polynomially bordered shape primitives Strähle, S.
1997
35 1-4 p. 465-468
artikel
35 Direct write patterning of titanium films using focused ion beam implantation and plasma etching Zachariasse, J.M.Frank
1997
35 1-4 p. 63-66
artikel
36 Dry etch damage in III–V semiconductors Hu, Evelyn L.
1997
35 1-4 p. 23-28
artikel
37 Dry etching of all-oxide transparent thin film memory transistors Giesbers, J.B.
1997
35 1-4 p. 71-74
artikel
38 DUV resist etch selectivity improvements using UV stabilization Krisa, W.L.
1997
35 1-4 p. 209-212
artikel
39 EBT micro-extraction structures fabricated by focused ion-beam Dinnis, A.R.
1997
35 1-4 p. 447-450
artikel
40 Economic production of submicron ASICs with laser beam direct write lithography Schomburg, C.
1997
35 1-4 p. 509-512
artikel
41 Editorial Board 1997
35 1-4 p. ii
artikel
42 Effect of temperature variations in the post-exposure processes of optical lithography Arthur, G.
1997
35 1-4 p. 137-140
artikel
43 Electrical characterization of Si Si0.7Ge0.3 quantum well wires fabricated by low damage CF4 reactive ion etching Lee, K.Y.
1997
35 1-4 p. 33-36
artikel
44 Electrical properties of 100 nm pitch Cr Au fine electrodes with 40 nm width on GaInAs toward hot electron interference/diffraction devices Hongo, Hiroo
1997
35 1-4 p. 241-244
artikel
45 Electron beam induced damage of silicon germanium Paul, D.J.
1997
35 1-4 p. 59-62
artikel
46 Electron beam lithography of phase mask gratings for near field holographic production of optical fibre gratings Liu, X.
1997
35 1-4 p. 345-348
artikel
47 Electron-beam lithography resist profile simulation for highly sensitive resist Lee, C.
1997
35 1-4 p. 125-128
artikel
48 Electron beam resists based on oxirane functionalised polystyrenes Murphy, J.J.
1997
35 1-4 p. 121-124
artikel
49 Electroplating: an alternative transfer technology in the 20nm range Simon, G.
1997
35 1-4 p. 51-54
artikel
50 Electrostatic wafer and wafer-carrier holding mechanisms for the EB-X2 e-beam writer Kunioka, T.
1997
35 1-4 p. 481-485
artikel
51 Embossing of nanoscale features and environments Casey, B.G.
1997
35 1-4 p. 393-396
artikel
52 Fabrication and characterisation of SiGe based in-plane-gate transistors Köster, T.
1997
35 1-4 p. 301-304
artikel
53 Fabrication and characterization of III–V compound semiconductor Bragg-Fresnel lenses for hard x-ray microfocusing Caine, E.J.
1997
35 1-4 p. 289-292
artikel
54 Fabrication and properties of dot array using electron-beam-induced deposition Komuro, M.
1997
35 1-4 p. 273-276
artikel
55 Fabrication of buried quantum structures using FIB-MBE total vacuum process Wakaya, F.
1997
35 1-4 p. 451-454
artikel
56 Fabrication of high aspect ratio structures using chlorine gas chopping technique Paul, A.K.
1997
35 1-4 p. 79-82
artikel
57 Fabrication of MSM detector structures on silicon by focused ion beam implantation Teichert, J.
1997
35 1-4 p. 455-458
artikel
58 Fabrication of 10-nm Si pillars with self-formed etching masks Tada, Tetsuya
1997
35 1-4 p. 293-296
artikel
59 Fabrication of refractive microlenses in semiconductors by mask shape transfer in reactive ion etching Strzelecka, E.M.
1997
35 1-4 p. 385-388
artikel
60 Fabrication of site-controlled metal dot array by electron beam surface modification Tsutsui, K.
1997
35 1-4 p. 245-248
artikel
61 Fabrication of submicron single-crystalline and bamboo A1 lines by recrystallization van den Homberg, M.J.C.
1997
35 1-4 p. 277-280
artikel
62 Focused ion beams in microsystem fabrication Daniel, J.H.
1997
35 1-4 p. 431-434
artikel
63 Gating high mobility silicon-germanium heterostructures Griffin, N.
1997
35 1-4 p. 309-312
artikel
64 Gel formation theory approach for the modelling of negative chemically amplified e-beam resists Patsis, G.
1997
35 1-4 p. 157-160
artikel
65 Gold nanograins deposited from a liquid metal ion source Vieu, C.
1997
35 1-4 p. 349-352
artikel
66 High focal depth imaging by Beam Shaping Optical Elements? Hild, R.
1997
35 1-4 p. 205-208
artikel
67 Highly sensitive resist material for deep X-ray lithography Schenk, R.
1997
35 1-4 p. 105-108
artikel
68 High resolution electron beam lithography studies on Shipley chemically amplified DUV resists Macintyre, D.
1997
35 1-4 p. 213-216
artikel
69 Hot electron interference by 40 nm-pitch double slit buried in semiconductor Hongo, H.
1997
35 1-4 p. 337-340
artikel
70 Imprint lithography with sub-10 nm feature size and high throughput Chou, Stephen Y.
1997
35 1-4 p. 237-240
artikel
71 Improving the resistance of PECVD silicon nitride to dry etching using an oxygen plasma Hicks, S.E.
1997
35 1-4 p. 41-44
artikel
72 Influence of resist process on proximity bias Gehoel-van Ansem, Wendy F.J.
1997
35 1-4 p. 193-196
artikel
73 Investigation of environmental stability in chemically amplified resists Yoshino, H.
1997
35 1-4 p. 153-156
artikel
74 Investigation of photoresist-specific linearity in optical lithography Martin, Brian
1997
35 1-4 p. 189-192
artikel
75 Korean Road Map for micropatterning into the next century Baik, Ki-Ho
1997
35 1-4 p. 11-20
artikel
76 Large area multilayered electrode arrays for dielectrophoretic fractionation Green, N.G.
1997
35 1-4 p. 421-424
artikel
77 Liquid-target laser-plasma source for X-ray lithography Malmqvist, L.
1997
35 1-4 p. 535-536
artikel
78 Low cost fabrication of micromechanical systems Cui, Zheng
1997
35 1-4 p. 389-392
artikel
79 Low energy electron beam lithography: Pattern distortion by charge trapped in the resist Kudryashov, V.A.
1997
35 1-4 p. 165-168
artikel
80 Magnetically refined tips for Scanning Force Microscopy Jumpertz, R.
1997
35 1-4 p. 325-328
artikel
81 Magnetic tunnel junctions fabricated at tenth-micron dimensions by electron beam lithography Rishton, S.A.
1997
35 1-4 p. 249-252
artikel
82 Manufacturable DUV lithography processes for 0.25μm technology contact and via layers Baker, Daniel
1997
35 1-4 p. 517-522
artikel
83 Method of dry-etching evaluation using quantum dots Rahman, M.
1997
35 1-4 p. 91-94
artikel
84 Microlens lithography and smart masks Völkel, R.
1997
35 1-4 p. 513-516
artikel
85 Micromachined Self-Aligned Microlens(SAM) for microcolumn electron beam Lee, Yong-Jae
1997
35 1-4 p. 413-416
artikel
86 Micromechanics: A toolbox for femtoscale science: “Towards a laboratory on a tip” Berger, R.
1997
35 1-4 p. 373-379
artikel
87 Micromilling development and applications for microfabrication Friedrich, C.R.
1997
35 1-4 p. 367-372
artikel
88 Nanofabrication using self-narrowing atomic beams Petrashov, Victor T.
1997
35 1-4 p. 357-359
artikel
89 Nanolithography with NOVER (Negative Organic Vacuum E-beam Resist) Petrashov, V.
1997
35 1-4 p. 161-163
artikel
90 Nanometerscale lithography with chromium atoms using light forces Drodofsky, U.
1997
35 1-4 p. 285-288
artikel
91 Nanoscale etching of resists in view of a mechanistic framework van Delft, Falco C.M.J.M.
1997
35 1-4 p. 75-78
artikel
92 New concept for ultra small N-MOSFET's Gondermann, J.
1997
35 1-4 p. 305-308
artikel
93 New method for fabrication of an array of individually controllable miniaturized electrostatic lenses Gross, H.S.
1997
35 1-4 p. 469-472
artikel
94 200nm Deep-UV lithography using Step-and-Scan Sewell, Harry
1997
35 1-4 p. 177-183
artikel
95 Optimization of optical density and planarization of an anti-reflectant Pavelchek, EK
1997
35 1-4 p. 217-220
artikel
96 Optimized process for electron beam nanolithography using AZPN114 chemically amplified resist Cui, Z.
1997
35 1-4 p. 145-148
artikel
97 Pattern generation for the next millennium Hawryliw, Andre
1997
35 1-4 p. 501-507
artikel
98 Patterning of monolayers of crystalline S-layer proteins on a silicon surface by deep ultraviolet radiation Pum, D.
1997
35 1-4 p. 297-300
artikel
99 Prediction of in-plane distortions due to mask fabrication processes Laudon, M.
1997
35 1-4 p. 549-552
artikel
100 Preface Wilkinson, C.D.W.
1997
35 1-4 p. vii-viii
artikel
101 Preparation of nanometer-scale windows in SiO2 for selective epitaxial growth of Si based devices Maes, J.W.H.
1997
35 1-4 p. 321-324
artikel
102 Process latitude enhancement for 3D structure formation in e-beam lithography Kudryashov, V.A.
1997
35 1-4 p. 487-490
artikel
103 Properties of thin anti-adhesive films used for the replication of microstructures in polymers Jaszewski, R.W.
1997
35 1-4 p. 381-384
artikel
104 Proximity correction for e-beam patterned sub-500nm diffractive optical elements Grella, L.
1997
35 1-4 p. 495-498
artikel
105 Quantum wire self-ordered growth seeded by electron-beam lithography Dwir, B.
1997
35 1-4 p. 269-272
artikel
106 Reducing recursive effect for fast proximity correction Lee, S.-Y.
1997
35 1-4 p. 491-494
artikel
107 Resist profile enhancement in near field holographic printing using bottom anti-reflection coatings Ahrens, R.G.
1997
35 1-4 p. 229-234
artikel
108 Revolutionary and evolutionary resist design concepts for 193 nm lithography Nalamasu, O.
1997
35 1-4 p. 133-136
artikel
109 Room temperature operated single electron transistor fabricated by electron beam nanolithography Kurihara, K.
1997
35 1-4 p. 261-264
artikel
110 Scanning probe sharp tip formation for IC integration using mesa technique Grabiec, P.B.
1997
35 1-4 p. 329-332
artikel
111 Selective metal deposition using metal-covered scanning tunneling microscope tips Takai, M.
1997
35 1-4 p. 353-356
artikel
112 Silicon micro/nanomechanical device fabrication based on focused ion beam surface modification and KOH etching Brugger, J.
1997
35 1-4 p. 401-404
artikel
113 Simultaneous exposure of filter gratings and waveguides by direct write electron-beam lithography Steingrüber, R.
1997
35 1-4 p. 341-344
artikel
114 Study of energy broadening of high-brightness ion beams from a surface plasma Penning source and its relevance in ion projection lithography Guharay, S.K.
1997
35 1-4 p. 435-438
artikel
115 Study on optimization of annular off-axis illumination using Taguchi method for 0.35 μm dense line/space Loong, Wen-an
1997
35 1-4 p. 461-464
artikel
116 Submicrometer p-type SiGe modulation-doped field-effect transistors for high speed applications Adesida, I.
1997
35 1-4 p. 257-260
artikel
117 Sub-10 nm monogranular metallic lines formed by 200 kV electron-beam lithography and lift-off in polymethylmethacrylate resist Vieu, C.
1997
35 1-4 p. 253-256
artikel
118 Surface modifications of YBa2Cu3O7-δ thin films usinga STM in air and in UHV Bertsche, G.
1997
35 1-4 p. 265-268
artikel
119 Technologies for the fabrication of cylindrical fine line devices Lullo, G.
1997
35 1-4 p. 417-420
artikel
120 The effect of surface transport on the evolution of film microstructure in plasma etching and deposition Singh, Vivek K.
1997
35 1-4 p. 37-40
artikel
121 The formation of acid diffusion wells in acid catalyzed photoresists Petersen, John S.
1997
35 1-4 p. 169-174
artikel
122 Theoretical and experimental investigations on Coulomb interactions in a two-lens focussed ion beam instrument Bi, J.
1997
35 1-4 p. 439-442
artikel
123 The present position and future status of electron beam lithography for VLSI fabrication Matsuzaka, T.
1997
35 1-4 p. 3-9
artikel
124 Thermal analysis of photoresists in aid of lithographic process development Tegou, E.
1997
35 1-4 p. 141-144
artikel
125 The SCALPEL proof of concept system Harriott, L.R.
1997
35 1-4 p. 477-480
artikel
126 Two-dimensional waveguide based photonic microstructures in GaAs and InP Krauss, T.F.
1997
35 1-4 p. 29-32
artikel
127 Vibratory analysis of an X-ray mask membrane during stepping Laird, D.L.
1997
35 1-4 p. 531-534
artikel
128 Writing nanostructures with a metastable helium beam Nowak, S.
1997
35 1-4 p. 427-430
artikel
129 X-ray mask temperature distribution and magnification control Dicks, G.
1997
35 1-4 p. 561-563
artikel
130 X-ray microfabrication of multi-level structures and 3-D patterning Morris, K.J.
1997
35 1-4 p. 545-548
artikel
                             130 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland