Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             43 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 A mathematical model for slip phenomenon in a cavity-filling process of nanoimprint lithography Kim, Nam Woong
2009
86 11 p. 2324-2329
6 p.
artikel
2 An alternative method for fabricating microcontact printing stamps Jing, Gaoshan
2009
86 11 p. 2334-2341
8 p.
artikel
3 A theoretical analysis together with experimental data of inhomogeneous Schottky barrier diodes Yıldırım, Nezir
2009
86 11 p. 2270-2274
5 p.
artikel
4 Bimorph nano actuators synthesized by focused ion beam chemical vapor deposition Chang, Jiyoung
2009
86 11 p. 2364-2368
5 p.
artikel
5 Controllable fabrication of carbon nanotube-polymer hybrid thin film for strain sensing Song, Xiaohui
2009
86 11 p. 2330-2333
4 p.
artikel
6 Cryogenic etching of n-type silicon with p+ doped walls with the TGZM process through the Al/Si eutectic alloy Oubensaid, E.H.
2009
86 11 p. 2262-2269
8 p.
artikel
7 Design of thermal imprinting system with uniform residual thickness Shin, Won-Ho
2009
86 11 p. 2222-2227
6 p.
artikel
8 Effect of post annealing on the resistive switching of TiO2 thin film Kim, Wan-Gee
2009
86 11 p. 2153-2156
4 p.
artikel
9 Effect of small Sn–3.5Ag–0.5Cu additions on the structure and properties of Sn–9Zn solder in ball grid array packages Gain, Asit Kumar
2009
86 11 p. 2347-2353
7 p.
artikel
10 Effects of silver oxalate additions on the physical characteristics of low-temperature-curing MOD silver paste for thick-film applications Lin, Hong-Ching
2009
86 11 p. 2316-2319
4 p.
artikel
11 Electrical and interface properties of Au/DNA/n-Si organic-on-inorganic structures Okur, Salih
2009
86 11 p. 2305-2311
7 p.
artikel
12 Electrical contacts for II–VI semiconducting devices Ghosh, Biswajit
2009
86 11 p. 2187-2206
20 p.
artikel
13 Electrical properties of Pt interconnects for passive crossbar memory arrays Rosezin, R.
2009
86 11 p. 2275-2278
4 p.
artikel
14 Enhanced gate induced drain leakage current in HfO2 MOSFETs Gurfinkel, Moshe
2009
86 11 p. 2157-2160
4 p.
artikel
15 Frequency effects on the dielectric properties of AlN film deposited by radio frequency reactive magnetron sputtering Song, Xiufeng
2009
86 11 p. 2217-2221
5 p.
artikel
16 High performance TaYO x -based MIM capacitors Mahata, C.
2009
86 11 p. 2180-2186
7 p.
artikel
17 High selectivity (SiN/SiO2) etching using an organic solution containing anhydrous HF Watanabe, Daisuke
2009
86 11 p. 2161-2164
4 p.
artikel
18 Imprinted polymer stamps for UV-NIL Haatainen, T.
2009
86 11 p. 2293-2296
4 p.
artikel
19 Improved current drivability with back-gate bias for elevated source and drain structured FD-SOI SiGe MOSFET Choi, Hoon
2009
86 11 p. 2165-2169
5 p.
artikel
20 Increasing the thin film inductance by using soft magnetic Co92Zr8 as conductor Li, Xi-Ling
2009
86 11 p. 2290-2292
3 p.
artikel
21 Inside Front Cover - Editorial Board 2009
86 11 p. IFC-
1 p.
artikel
22 Interfacial microstructure and electrical properties of HfAlO x thin films on compressively strained Si83Ge17 grown by RF magnetron sputtering Qiu, X.Y.
2009
86 11 p. 2247-2250
4 p.
artikel
23 Investigation of growth properties of patterned and aligned carbon nanotubes for field emitter Liu, Hongzhong
2009
86 11 p. 2236-2240
5 p.
artikel
24 Low temperature sintering properties of Y-doped BaTiO3 ceramics by BaB2O4 sintering aid Kong, Myongil
2009
86 11 p. 2320-2323
4 p.
artikel
25 Mechanism of selective Si3N4 etching over SiO2 in hydrogen-containing fluorocarbon plasma Chen, Lele
2009
86 11 p. 2354-2357
4 p.
artikel
26 MEMS/microelectronics self-assembly based on analogy of Langmuir–Blodgett approach Liu, Mei
2009
86 11 p. 2279-2282
4 p.
artikel
27 Microlens array fabrication by enhanced thermal reflow process: Towards efficient collection of fluorescence light from microarrays Roy, E.
2009
86 11 p. 2255-2261
7 p.
artikel
28 Modification of metal/semiconductor junctions by self-assembled monolayer organic films Yakuphanoglu, Fahrettin
2009
86 11 p. 2358-2363
6 p.
artikel
29 Numerical simulation of spin coated P3HT organic thin film transistors with field dependent mobility and distributed contact resistance Khaliq, Abdul
2009
86 11 p. 2312-2315
4 p.
artikel
30 Optical characteristics of Si/SiO2 multilayers prepared by magnetron sputtering Xiao, Hong
2009
86 11 p. 2342-2346
5 p.
artikel
31 Plastic deformation magnetic assembly of out-of-plane structures using vapour phase hydrofluoric (HF) acid release Argyrakis, P.
2009
86 11 p. 2176-2179
4 p.
artikel
32 Pore sealing of mesoporous silica low-k dielectrics by oxygen and argon plasma treatments Chang, Chih-Chieh
2009
86 11 p. 2241-2246
6 p.
artikel
33 Porous silicon for the development of capacitive microstructures Sancho, A.
2009
86 11 p. 2144-2148
5 p.
artikel
34 Purely analytical extraction of an improved nonlinear FinFET model including non-quasi-static effects Crupi, Giovanni
2009
86 11 p. 2283-2289
7 p.
artikel
35 Silicon cantilever arrays with by-pass metal through-silicon-via (TSV) tips for micromachined IC testing probe cards Wang, Fei
2009
86 11 p. 2211-2216
6 p.
artikel
36 Sputtered metal lift-off for grating fabrication on InP based optical devices Magdenko, Liubov
2009
86 11 p. 2251-2254
4 p.
artikel
37 Studies on the performance characteristics and improvements of the piezoelectrically-driven micro gas compressors Yoon, Jae Sung
2009
86 11 p. 2297-2304
8 p.
artikel
38 Surface acoustic wave characteristics of AlN thin films grown on a polycrystalline 3C-SiC buffer layer Hoang, Si-Hong
2009
86 11 p. 2149-2152
4 p.
artikel
39 The direct nano-patterning of ZnO using nanoimprint lithography with ZnO-sol and thermal annealing Yang, Ki-Yeon
2009
86 11 p. 2228-2231
4 p.
artikel
40 Thermal-flow techniques for sub-35nm contact-hole fabrication using Taguchi method in electron-beam lithography Li, Te-Sheng
2009
86 11 p. 2170-2175
6 p.
artikel
41 Thin silicon oxide films on N-type 4H–SiC prepared by scanning frequency anodization method Chuang, Kai-Chieh
2009
86 11 p. 2207-2210
4 p.
artikel
42 Top down fabrication of long silicon nanowire devices by means of lateral oxidation Pennelli, Giovanni
2009
86 11 p. 2139-2143
5 p.
artikel
43 Wet releasing and stripping SU-8 structures with a nanoscale sacrificial layer Wang, Peihong
2009
86 11 p. 2232-2235
4 p.
artikel
                             43 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland