Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             62 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 A comprehensive model for oxide degradation Irrera, Fernanda
2005
45 5-6 p. 853-856
4 p.
artikel
2 Admittance spectroscopy of traps at the interfaces of (100)Si with Al2O3, ZrO2, and HfO2 Truong, L.
2005
45 5-6 p. 823-826
4 p.
artikel
3 AVD® technology for deposition of next generation devices Weber, U.
2005
45 5-6 p. 945-948
4 p.
artikel
4 Bond strain and defects at interfaces in high-k gate stacks Lucovsky, G.
2005
45 5-6 p. 770-778
9 p.
artikel
5 Breakdown spots of ultra-thin (EOT<1.5nm) HfO2/SiO2 stacks observed with enhanced—CAFM Blasco, X.
2005
45 5-6 p. 811-814
4 p.
artikel
6 Challenges for dielectric materials in future integrated circuit technologies Garner, C.M.
2005
45 5-6 p. 919-924
6 p.
artikel
7 Characterization of crystalline MOCVD SrTiO3 films on SiO2/Si(100) Sibai, A.
2005
45 5-6 p. 941-944
4 p.
artikel
8 Characterization of various insulators for possible use as low-k dielectrics deposited at temperatures below 200°C Vasilopoulou, M.
2005
45 5-6 p. 990-993
4 p.
artikel
9 Charge storage peculiarities in poly-Si–SiO2–Si memory devices with Si nanocrystals rich SiO2 Turchanikov, V.I.
2005
45 5-6 p. 903-906
4 p.
artikel
10 Comparison of interfaces states density through their energy distribution and LVSILC induced by uniform and localized injections in 2.3nm thick oxides Zander, D.
2005
45 5-6 p. 891-894
4 p.
artikel
11 Comparison of metal gate electrodes on MOCVD HfO2 Lemme, M.C.
2005
45 5-6 p. 953-956
4 p.
artikel
12 Conduction band states of transition metal (TM) high-k gate dielectrics as determined from X-ray absorption spectra Lucovsky, G.
2005
45 5-6 p. 827-830
4 p.
artikel
13 Crested barrier in the tunnel stack of non-volatile memories Irrera, Fernanda
2005
45 5-6 p. 907-910
4 p.
artikel
14 Dielectric properties of two phases of crystalline lutetium oxide Delugas, Pietro
2005
45 5-6 p. 831-833
3 p.
artikel
15 Dynamic Fowler–Nordheim injection in EEPROM tunnel oxides at realistic time scales Baboux, N.
2005
45 5-6 p. 911-914
4 p.
artikel
16 Electrical characterization of hafnium oxide and hafnium-rich silicate films grown by atomic layer deposition Dueñas, S.
2005
45 5-6 p. 949-952
4 p.
artikel
17 Electrical characterization of HfO2 films obtained by UV assisted injection MOCVD Decams, J.M.
2005
45 5-6 p. 929-932
4 p.
artikel
18 Electrical conduction mechanism in high-dielectric-constant ZrO2 thin films Wang, Ming-Tsong
2005
45 5-6 p. 969-972
4 p.
artikel
19 Electrical properties in low temperature range (5K–300K) of Tantalum Oxide dielectric MIM capacitors Deloffre, E.
2005
45 5-6 p. 925-928
4 p.
artikel
20 Electrical properties of hafnium silicate films obtained from a single-source MOCVD precursor Lemberger, Martin
2005
45 5-6 p. 819-822
4 p.
artikel
21 Electrical properties of metal–HfO2–silicon system measured from metal–insulator–semiconductor capacitors and metal–insulator–semiconductor field–effect transistors using HfO2 gate dielectric Chiu, Fu-Chien
2005
45 5-6 p. 961-964
4 p.
artikel
22 Electrical properties of MIS capacitor using low temperature electron beam gun—evaporated HfAlO dielectrics Mikhelashvili, V.
2005
45 5-6 p. 933-936
4 p.
artikel
23 Evidence and modelling current dependence of defect generation probability and its impact on charge to breakdown Ribes, G.
2005
45 5-6 p. 841-844
4 p.
artikel
24 Examination and evaluation of La2O3 as gate dielectric for sub-100nm CMOS and DRAM technology Capodieci, V.
2005
45 5-6 p. 937-940
4 p.
artikel
25 Experimental extraction of degradation parameters after constant voltage stress and substrate hot electron injection on ultrathin oxides Trapes, C.
2005
45 5-6 p. 883-886
4 p.
artikel
26 Experimental investigation of the dielectric-semiconductor interface with scanning capacitance microscopy Yang, J.
2005
45 5-6 p. 887-890
4 p.
artikel
27 Impact of interface and bulk trapped charges on transistor reliability Ghidini, G.
2005
45 5-6 p. 857-860
4 p.
artikel
28 Impact of nitrogen incorporation on interface states in (100)Si/HfO2 Fedorenko, Y.G.
2005
45 5-6 p. 802-805
4 p.
artikel
29 Implementation of high-k and metal gate materials for the 45nm node and beyond: gate patterning development Beckx, S.
2005
45 5-6 p. 1007-1011
5 p.
artikel
30 Improved charge injection in Si nanocrystal non-volatile memories Carreras, Josep
2005
45 5-6 p. 899-902
4 p.
artikel
31 Improvement of the P/E window in nanocrystal memories by the use of high-k materials in the control dielectric Spitale, E.
2005
45 5-6 p. 895-898
4 p.
artikel
32 Influence of oxide breakdown position and device aspect ratio on MOSFET’s output characteristics Fernández, R.
2005
45 5-6 p. 861-864
4 p.
artikel
33 Influence of the annealing temperature on the IR properties of SiO2 films grown from SiH4 +O2 Vamvakas, V.Em.
2005
45 5-6 p. 986-989
4 p.
artikel
34 In situ steam generation (ISSG) versus standard steam technology: impact on oxide reliability Langenbuch, M.
2005
45 5-6 p. 875-878
4 p.
artikel
35 Investigation into the correct statistical distribution for oxide breakdown over oxide thickness range Prendergast, James
2005
45 5-6 p. 973-977
5 p.
artikel
36 Junction leakage current degradation under high temperature reverse-bias stress induced by band-defect-band tunnelling in power VDMOS Barletta, Giacomo
2005
45 5-6 p. 994-999
6 p.
artikel
37 Light emission from Si/SiO2 superlattices fabricated by RPECVD Rölver, R.
2005
45 5-6 p. 915-918
4 p.
artikel
38 LPCVD-silicon oxynitride films: interface properties Halova, E.
2005
45 5-6 p. 982-985
4 p.
artikel
39 Modelling mobility degradation due to remote Coulomb scattering from dielectric charges and its impact on MOS device performance Lujan, G.S.
2005
45 5-6 p. 794-797
4 p.
artikel
40 [No title] Stojcev, Mile
2005
45 5-6 p. 1017-1018
2 p.
artikel
41 [No title] Stojcev, Mile
2005
45 5-6 p. 1019-1020
2 p.
artikel
42 [No title] Hurley, Paul
2005
45 5-6 p. 767-769
3 p.
artikel
43 [No title] Stojcev, Mile
2005
45 5-6 p. 1012-1013
2 p.
artikel
44 [No title] Stojcev, Mile
2005
45 5-6 p. 1014-1016
3 p.
artikel
45 Observation and characterization of defects in HfO2 high-K gate dielectric layers Kaushik, Vidya
2005
45 5-6 p. 798-801
4 p.
artikel
46 On the data interpretation of the C-AFM measurements in the characterization of thin insulating layers Pétry, J.
2005
45 5-6 p. 815-818
4 p.
artikel
47 On the influence of substrate cleaning method and rapid thermal annealing conditions on the electrical characteristics of Al/SiN x /SiO2/Si fabricated by ECR-CVD Dueñas, S.
2005
45 5-6 p. 978-981
4 p.
artikel
48 On the SILC mechanism in MOSFET’s with ultrathin oxides Bauza, D.
2005
45 5-6 p. 849-852
4 p.
artikel
49 Optical and electrical characterization of hafnium oxide deposited by MOCVD Lu, Y.
2005
45 5-6 p. 965-968
4 p.
artikel
50 Optimization of low temperature silicon nitride processes for improvement of device performance Sleeckx, E.
2005
45 5-6 p. 865-868
4 p.
artikel
51 Performance improvement of self-aligned HfO2/TaN and SiON/TaN nMOS transistors Schram, T.
2005
45 5-6 p. 779-782
4 p.
artikel
52 Post deposition UV-induced O2 annealing of HfO2 thin films Fang, Q.
2005
45 5-6 p. 957-960
4 p.
artikel
53 Potential remedies for the V T/V fb-shift problem of Hf/polysilicon-based gate stacks: a solution-based survey Deweerd, W.
2005
45 5-6 p. 786-789
4 p.
artikel
54 Probing stress effects in HfO2 gate stacks with time dependent measurements Young, Chadwin D.
2005
45 5-6 p. 806-810
5 p.
artikel
55 Process integration and nanometer-scale electrical characterization of crystalline high-k gate dielectrics Schwalke, Udo
2005
45 5-6 p. 790-793
4 p.
artikel
56 Progressive breakdown in ultrathin SiON dielectrics and its effect on transistor performance O’Connor, Robert
2005
45 5-6 p. 869-874
6 p.
artikel
57 Reliability of gate dielectrics and metal–insulator–metal capacitors Martin, Andreas
2005
45 5-6 p. 834-840
7 p.
artikel
58 Reverse short channel effects in high-k gated nMOSFETs Han, J.-P.
2005
45 5-6 p. 783-785
3 p.
artikel
59 Silicon dioxide deposited by ECR-PECVD for low-temperature Si devices processing Pecora, A.
2005
45 5-6 p. 879-882
4 p.
artikel
60 Structure of the oxide damage under progressive breakdown Palumbo, F.
2005
45 5-6 p. 845-848
4 p.
artikel
61 The effect of electrode material on the electrical conduction of metal-Pb(Zr0.53Ti0.47)O3-metal thin film capacitors Juan, P.C.
2005
45 5-6 p. 1003-1006
4 p.
artikel
62 Vapour pressure measurement of low volatility precursors Rushworth, S.A.
2005
45 5-6 p. 1000-1002
3 p.
artikel
                             62 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland