Digital Library
Close Browse articles from a journal
     Journal description
       All volumes of the corresponding journal
         All issues of the corresponding volume
                                       All articles of the corresponding issues
 
                             64 results found
no title author magazine year volume issue page(s) type
1 A comparison of MOS processes for VLSI. Part I 1985
16 5 p. 47-
1 p.
article
2 Advances in microelectronics packaging and interconnection technologies —towards the new generation of hybrid microelectronics Sinnadurai, Nihal
1985
16 5 p. 31-43
13 p.
article
3 Air and nitrogen-fireable multilayer systems: materials and performance characteristics. Part II 1985
16 5 p. 51-
1 p.
article
4 Air and nitrogen-fireable multilayer systems: materials and performance characteristics. Part II 1985
16 5 p. 51-
1 p.
article
5 Ammonium persulfate as a stripping and cleaning oxidant 1985
16 5 p. 50-
1 p.
article
6 Analysis of thin film fire sensors 1985
16 5 p. 48-
1 p.
article
7 A new active-C oscillator Taher Abuelma' Atti, Muhammad
1985
16 5 p. 18-21
4 p.
article
8 An experimental approach to vapor phase reflow solder assembly 1985
16 5 p. 52-
1 p.
article
9 A novel memory device for VLSI E2 prom 1985
16 5 p. 46-
1 p.
article
10 Application of electron beams in thermal processing of semiconductor materials and devices 1985
16 5 p. 52-
1 p.
article
11 Bipolar device packaging-electrical, thermal, and mechanical stress considerations 1985
16 5 p. 47-
1 p.
article
12 Bipolar gate array delivers fast signal processing 1985
16 5 p. 46-
1 p.
article
13 Chromium redistribution in ion-implanted GaAs 1985
16 5 p. 52-
1 p.
article
14 Contamination prevention and protection for process gases 1985
16 5 p. 50-
1 p.
article
15 Control of polysilicon film properties 1985
16 5 p. 51-
1 p.
article
16 Deposition and patterning of the tungsten and tantaium polycides 1985
16 5 p. 51-
1 p.
article
17 Designing for testability 1985
16 5 p. 53-
1 p.
article
18 Design system for semi-custom VLSI cicuits 1985
16 5 p. 47-
1 p.
article
19 Detection and accelerated testing of vibration-induced connector wear 1985
16 5 p. 53-
1 p.
article
20 Direct attachment of leadless chip carriers to organic matrix printed wiring boards 1985
16 5 p. 47-48
2 p.
article
21 Editorial Butcher, John
1985
16 5 p. 3-
1 p.
article
22 Electrical characteristics of large scale integration (LSI) Mosfet's at very high temperatures. Part I; theory 1985
16 5 p. 53-
1 p.
article
23 Electrical characteristics of large-scale integration silicon MOSFET's at very high temperatures, Part III: modelling and circuit behaviour 1985
16 5 p. 53-
1 p.
article
24 Electron beam resist systems—a critical review of recent developments 1985
16 5 p. 51-
1 p.
article
25 Failures induced by electromigration in ECL 100k devices 1985
16 5 p. 50-
1 p.
article
26 Fast C-MOS logic bids for TTL sockets in most systems 1985
16 5 p. 46-
1 p.
article
27 Fatigue life of leadless chip carrier solder joints during power cycling 1985
16 5 p. 49-
1 p.
article
28 Forthcoming events 1985
16 5 p. 55-58
4 p.
article
29 Fretting corrosion of solder-coated electrical contacts 1985
16 5 p. 52-53
2 p.
article
30 High pinout IC packaging and the density advantage of surface mounting 1985
16 5 p. 49-
1 p.
article
31 Impact of custom VLSI technology 1985
16 5 p. 46-
1 p.
article
32 Improved electrical performance required for future MOS packaging 1985
16 5 p. 47-
1 p.
article
33 Improved planar isolation with buried-channel MOS FET's 1985
16 5 p. 47-
1 p.
article
34 ITT's testability analysis program 1985
16 5 p. 53-
1 p.
article
35 Management of AICI3 in plasma etching aluminium and its alloys 1985
16 5 p. 51-
1 p.
article
36 Methodologies for full custom VLSI design 1985
16 5 p. 47-
1 p.
article
37 Modelling of discrete semiconductor devices 1985
16 5 p. 48-
1 p.
article
38 Modular approach to C-MOS technology tailors process to application 1985
16 5 p. 46-
1 p.
article
39 Multilayer resist processes and laternatives 1985
16 5 p. 52-
1 p.
article
40 Noise investigations on thick film resistors 1985
16 5 p. 48-
1 p.
article
41 Non-inverting regenerative CMOS logic circuits Bundalo, Zlatko V.
1985
16 5 p. 5-17
13 p.
article
42 Nonlinear distortion in current-feedback amplifiers Martinez, Pedro A.
1985
16 5 p. 22-30
9 p.
article
43 [No title] Howson, M.
1985
16 5 p. 45-
1 p.
article
44 [No title] Fletcher, A.E.
1985
16 5 p. 45-
1 p.
article
45 Power bipolar devices 1985
16 5 p. 48-
1 p.
article
46 Power M.O.S. devices 1985
16 5 p. 48-
1 p.
article
47 Properties of metal-semiconductor contacts-II. Numerical solutions for intrinsic material 1985
16 5 p. 51-
1 p.
article
48 Research and development 1985
16 5 p. 59-63
5 p.
article
49 Roop 1985
16 5 p. 46-
1 p.
article
50 Selective reactive ion etching of silicon dioxide 1985
16 5 p. 52-
1 p.
article
51 Solder pastes for microelectronics 1985
16 5 p. 50-
1 p.
article
52 Square 25-thou posts/receptacles dorminate US interconnection market 1985
16 5 p. 48-
1 p.
article
53 Status and prospects for gallium arsenide technology 1985
16 5 p. 46-
1 p.
article
54 Switch failure in a two-unit standby system with better utilization of units 1985
16 5 p. 50-
1 p.
article
55 The density of states at GaAs/native oxide interfaces 1985
16 5 p. 53-
1 p.
article
56 The effect of high dissipation components on the solder joints of ceramic chip carriers attached to thick film alumina substrates 1985
16 5 p. 49-
1 p.
article
57 The gold donor and acceptor level in p-type silicon 1985
16 5 p. 54-
1 p.
article
58 Thermal studies on pin grid array packages for high density LSI and VLSI logic circuits 1985
16 5 p. 49-50
2 p.
article
59 The role of inorganic materials in dry-processed resist technology 1985
16 5 p. 50-
1 p.
article
60 Thin film processing of hybrid ICs 1985
16 5 p. 49-
1 p.
article
61 Transition to one micro technology: Part 2 1985
16 5 p. 47-
1 p.
article
62 Trends in medical electronics using surface mounted components and hybrids 1985
16 5 p. 49-
1 p.
article
63 Uniform plasma etching of printed circuit boards 1985
16 5 p. 52-
1 p.
article
64 Work function measurements during a growth of ultra thin films of SiO2 on characterized silicon surfaces 1985
16 5 p. 54-
1 p.
article
                             64 results found
 
 Koninklijke Bibliotheek - National Library of the Netherlands