Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             121 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Acid hardenable, spin-coatable silicon ladder polymer systems as resist materials Hiraoka, Hiroyuki
1991
13 1-4 p. 61-64
4 p.
artikel
2 A 3.5 GHz electron beam shaper Mulder, E.H.
1991
13 1-4 p. 157-160
4 p.
artikel
3 A lithography workcell monitoring and modeling scheme Ling, Zhi-min
1991
13 1-4 p. 537-540
4 p.
artikel
4 A 0,25μm NMOS transistor fabricated with X-ray lithography Breithaupt, B.
1991
13 1-4 p. 319-322
4 p.
artikel
5 An advanced multi-layer resist system for precise delineation with planarization Ishida, Ichiro
1991
13 1-4 p. 125-128
4 p.
artikel
6 Analysis of large electron optical systems with many interacting optical elements Petric, Paul F.
1991
13 1-4 p. 161-164
4 p.
artikel
7 Analytic waveguide solutions and the coherence probe microscope Davidson, Mark P.
1991
13 1-4 p. 523-526
4 p.
artikel
8 An in-depth study of the influence of silylation conditions on the silicon contrast Goethals, A.M.
1991
13 1-4 p. 37-40
4 p.
artikel
9 A novel development process for suppressing swelling of EB resist Maruyama, T.
1991
13 1-4 p. 201-204
4 p.
artikel
10 A novel silicon containing chemical amplification resist for electron beam lithography Watanabe, H.
1991
13 1-4 p. 69-72
4 p.
artikel
11 Application of gold plated edges for the measurement of the electron beam diameter Gentili, M.
1991
13 1-4 p. 185-188
4 p.
artikel
12 A radiation source for x-ray lithography Cullmann, E.
1991
13 1-4 p. 299-303
5 p.
artikel
13 A study of dry etching mechanisms in CL2 by ion energy analysis at the rf-electrode Manenschijn, A.
1991
13 1-4 p. 451-454
4 p.
artikel
14 A study of proximity effects at high electron-beam voltages for x-ray mask fabrication part 1: Additive mask processes Rosenfield, M.G.
1991
13 1-4 p. 165-172
8 p.
artikel
15 Audio frequency plasma generation reactor configurations for dry etch processing Brasseur, Guy J.J.
1991
13 1-4 p. 477-480
4 p.
artikel
16 Author index volume 1991
13 1-4 p. 559-573
15 p.
artikel
17 Characterization of silicon open stencil masks in an ion projection lithography machine Buchmann, L.-M.
1991
13 1-4 p. 353-356
4 p.
artikel
18 Chemical amplification in submicron lithography: An information theoretic analysis Szmanda, Charles R.
1991
13 1-4 p. 23-28
6 p.
artikel
19 Chemistry and processes for deep-UV resists Reichmanis, E.
1991
13 1-4 p. 3-10
8 p.
artikel
20 Comparison of double and single recessed 0.15μm gate length δ doped AlGaAs/GaAs TEGFETs Jin, Y.
1991
13 1-4 p. 381-384
4 p.
artikel
21 Comparison of vapor and liquid phase silylation processes of photoresists Babich, E.
1991
13 1-4 p. 47-50
4 p.
artikel
22 Computer aided proximity correction for direct write E-beam lithography Knapek, E.
1991
13 1-4 p. 181-184
4 p.
artikel
23 Conducting polyaniline: Removable SEM discharge layer Angelopoulos, Marie
1991
13 1-4 p. 515-518
4 p.
artikel
24 Contents 1991
13 1-4 p. xi-xvii
nvt p.
artikel
25 Deep-UV lithography mask fabrication with 200nm feature size using a liftoff technique Gruhle, A.
1991
13 1-4 p. 217-220
4 p.
artikel
26 Description of microstructures in LIGA-technology Bley, Peter
1991
13 1-4 p. 509-512
4 p.
artikel
27 Detection of dry-etched induced damage by non-contact photo-thermal radiometry, photoluminescence and deep level transient spectroscopy Herbert, P.A.F.
1991
13 1-4 p. 437-441
5 p.
artikel
28 Direct delineation of fine metallic patterns through hydrogen reduction of inorganic resist HPA Yoshimura, Toshiyuki
1991
13 1-4 p. 97-100
4 p.
artikel
29 Dry development of the DESIRE process in a DECR reactor Dijkstra, J.
1991
13 1-4 p. 455-458
4 p.
artikel
30 Dry etching for silylated resist development Laporte, P.
1991
13 1-4 p. 469-472
4 p.
artikel
31 E-beam induced X-ray mask repair with optimized gas nozzle geometry Kohlmann, K.T.
1991
13 1-4 p. 279-282
4 p.
artikel
32 E-beam patterning by a double exposure process Lalanne, Frédéric
1991
13 1-4 p. 173-176
4 p.
artikel
33 Editorial Board 1991
13 1-4 p. vi-viii
nvt p.
artikel
34 Electron scattering effects in additive patterning of XRL masks for 0.2 micron resolution Carcenac, F.
1991
13 1-4 p. 197-200
4 p.
artikel
35 Enhanced oxygen reactive ion etching resistance of diazonaphthoquinone-poly(formyloxystyrene) resist system by photoacid catalyzed photo-fries rearrangement and potassium ion treatment in aqueous solution Loong, Wen-an
1991
13 1-4 p. 101-104
4 p.
artikel
36 Epitaxial overgrowth on nanometric InP wires processed by reactive ion etching Izrael, A.
1991
13 1-4 p. 395-398
4 p.
artikel
37 Evaluation of an advanced submicron X-ray stepper (XRS 200): Pattern transfer and alignment accuracy Simon, K.
1991
13 1-4 p. 309-314
6 p.
artikel
38 Evaluation of a vertical x-ray stepper Fukuda, M.
1991
13 1-4 p. 305-308
4 p.
artikel
39 Experimental design method applied in IC process design Yie, He
1991
13 1-4 p. 555-558
4 p.
artikel
40 Experiments in projection lithography using soft x-rays Bjorkholm, J.E.
1991
13 1-4 p. 243-250
8 p.
artikel
41 Fabrication and tests of multilayer Bragg-Fresnel X-ray lenses Erko, A.I.
1991
13 1-4 p. 335-338
4 p.
artikel
42 Fabrication of buried GaAlAs NM-structures by deep UV holographic lithography and MBE growth on finely channelled substrates Marti, U.
1991
13 1-4 p. 391-394
4 p.
artikel
43 Fabrication of microstructures for quantum devices using focused ion beam gas-assisted etching Ochiai, Y.
1991
13 1-4 p. 399-402
4 p.
artikel
44 Fabrication of 35 nm linewidth gold rings and observation of h/e and h/2e magnetoconductance oscillations Verbruggen, A.H.
1991
13 1-4 p. 407-410
4 p.
artikel
45 Fabrication of optoelectronic devices on AlGaAs using electron beam lithography Stauffer, J-M.
1991
13 1-4 p. 193-196
4 p.
artikel
46 Fabrication of quantum wires and point contacts in GaAs/AlGaAs heterostructures using focused ion beam implanted gates Blaikie, Richard J.
1991
13 1-4 p. 373-376
4 p.
artikel
47 Fabrication of sub-100nm dual-gate MODFETS with enhanced performance Lee, K.Y.
1991
13 1-4 p. 377-380
4 p.
artikel
48 Focused ion beam system with high current density Bischoff, L.
1991
13 1-4 p. 367-370
4 p.
artikel
49 Fragmentation in magnetically enhanced reactive ion etching - a LIF and OES study in a CF4 discharge Heinrich, F.
1991
13 1-4 p. 433-436
4 p.
artikel
50 Functional testing as a tool in photoresist fabrication Dentruck, B.
1991
13 1-4 p. 119-123
5 p.
artikel
51 Helios: Further progress on helios : A compact synchrotron X-ray source Kempson, VC
1991
13 1-4 p. 287-290
4 p.
artikel
52 High-accuracy X-ray masks with sub-half-micron 1M-DRAM chips Ohki, Shigehisa
1991
13 1-4 p. 251-254
4 p.
artikel
53 High aspect ratio, 0.1 μm structures obtained by single layer resist and conventional electron beam lithography Gentili, M.
1991
13 1-4 p. 213-216
4 p.
artikel
54 Highly sensitive positive deep UV resist utilizing a sulfonate acid generator and a tetrahydropyranyl inhibitor Schlegel, Leo
1991
13 1-4 p. 33-36
4 p.
artikel
55 Illumination effects on image formation in X-ray proximity printing Vladimirsky, Y.
1991
13 1-4 p. 343-346
4 p.
artikel
56 Improved resist contrast with novolac based E-beam resists using modified development procedures Jonckheere, R.
1991
13 1-4 p. 209-212
4 p.
artikel
57 Improvement of the DESIRE process using PROMOTE technology Reuhman-Huisken, M.E.
1991
13 1-4 p. 41-46
6 p.
artikel
58 In situ pattern formation of GaAs by electron-beam-stimulated oxidation and subsequent Cl2 gas etching Sugimoto, Y.
1991
13 1-4 p. 403-406
4 p.
artikel
59 Investigation of the process latitude for sub-half-micron pattern replication in X-ray lithography Oertel, H.K.
1991
13 1-4 p. 339-342
4 p.
artikel
60 Laser cleaning of wafer surfaces and lithography masks Zapka, W.
1991
13 1-4 p. 547-550
4 p.
artikel
61 Laser photoetching of doped poly(tetrafluoroethylene), substituted-PTFE, and polyimide films Hiraoka, Hiroyuki
1991
13 1-4 p. 429-432
4 p.
artikel
62 Light scattering properties of x-ray lithography mask substrates Maldonado, J.R.
1991
13 1-4 p. 347-350
4 p.
artikel
63 Lithographic performance of an EL-3 system at 0.25μm groundrules Newman, T.H.
1991
13 1-4 p. 151-156
6 p.
artikel
64 Low energy silicon etching technologies Horiike, Y.
1991
13 1-4 p. 417-424
8 p.
artikel
65 Metallized photoresists: A new approach to surface imaging Abali, L.N.
1991
13 1-4 p. 93-96
4 p.
artikel
66 Metrology using differential phase contrast microscopy Shaw, Jerry C.
1991
13 1-4 p. 527-530
4 p.
artikel
67 Micro-extraction fields to improve electron beam test measurements Khursheed, A.
1991
13 1-4 p. 519-522
4 p.
artikel
68 Microfabrication of metal-coated silicon tips and their field emission properties Stephani, D.
1991
13 1-4 p. 505-508
4 p.
artikel
69 Mix-and-match EBP/optical lithography of 1 Mbit chips Zapka, W.
1991
13 1-4 p. 357-360
4 p.
artikel
70 Mix and match lithography for 0.1 μm MOSFET fabrication Miéville, J.P.
1991
13 1-4 p. 189-192
4 p.
artikel
71 Modelling of aluminium plasma etch processes Colson, Paul M.F.
1991
13 1-4 p. 481-484
4 p.
artikel
72 Nanometer pattern fabrication using a novel X-ray mask with a cross-sectioned metal thin film absorber Horiuchi, T.
1991
13 1-4 p. 315-318
4 p.
artikel
73 Nanostructure fabrication by electron beam lithography on insulating substrates using a novel four-layer resist Langheinrich, Wolfram
1991
13 1-4 p. 225-228
4 p.
artikel
74 Novel beamline optics for x-ray lithography Cole, R.K.
1991
13 1-4 p. 295-298
4 p.
artikel
75 Optical versus X-ray lithography for future device fabrication Arden, Wolfgang
1991
13 1-4 p. 231-241
11 p.
artikel
76 Performance optimization of the chemically amplified radiation resist RAY-PF Ballhorn, R.-U.
1991
13 1-4 p. 73-78
6 p.
artikel
77 Periodic conductance resonances in one-dimensional GaAs channels with nanoconstrictions Wind, S.J.
1991
13 1-4 p. 411-414
4 p.
artikel
78 Photoablative etching of polymers for integrated optoelectronic devices Lemoine, P.
1991
13 1-4 p. 447-450
4 p.
artikel
79 Positive-tone silylation processes at 193 nm Hartney, M.A.
1991
13 1-4 p. 51-56
6 p.
artikel
80 Preface 1991
13 1-4 p. ix-
1 p.
artikel
81 Printability of x-ray mask defects in sub 0.5 μm mask pattern Kluwe, A.
1991
13 1-4 p. 331-334
4 p.
artikel
82 Process control capability using a diaphragm photochemical dispense system Cambria, Terrell D.
1991
13 1-4 p. 551-554
4 p.
artikel
83 Process latitude of positive and negative resist systems for direct write Ebeam lithography Hintermaier, M.
1991
13 1-4 p. 105-108
4 p.
artikel
84 Profile abnormality in a chemical amplification resist system Suga, Osamu
1991
13 1-4 p. 65-68
4 p.
artikel
85 Progress in E-beam mask making for optical and x-ray lithography Pfeiffer, Hans C.
1991
13 1-4 p. 141-149
9 p.
artikel
86 Promote processing with JSR-7750 positive photoresist Vollenbroek, F.A.
1991
13 1-4 p. 79-84
6 p.
artikel
87 Proximity E-beam exposure in submicron patterns using a silylation process Vachette, Thierry G.
1991
13 1-4 p. 205-208
4 p.
artikel
88 Reactive ion etching of multilayer mirrors for X-ray projection lithography masks Malek, C.Khan
1991
13 1-4 p. 283-286
4 p.
artikel
89 Realization of deep-submicron MOSFETS by lateral etching Burmester, R.
1991
13 1-4 p. 473-476
4 p.
artikel
90 Reconstruction of topographies from multiple SEM views Janssen, Reinhard
1991
13 1-4 p. 531-534
4 p.
artikel
91 Repair of opaque X-ray mask defects: Application and resolution Schaffer, Holger
1991
13 1-4 p. 275-278
4 p.
artikel
92 Self-aligned phase shifting mask for contact hole fabrication Todokoro, Y.
1991
13 1-4 p. 131-134
4 p.
artikel
93 Simulation of defects in 3-dimensional resist profiles in optical lithography Henke, W.
1991
13 1-4 p. 497-501
5 p.
artikel
94 Statistical expert systems for process control and error detection for VLSI Arshak, K.
1991
13 1-4 p. 541-546
6 p.
artikel
95 Status of the compact synchrotron radiation source cosy and first exposure experiments Schmidt, M.
1991
13 1-4 p. 291-294
4 p.
artikel
96 Submicrometer photolithography by surface imaging - experiment and simulation Bauch, L.
1991
13 1-4 p. 89-92
4 p.
artikel
97 Submicron patterning of Nb using CF3Br and a single layer resist de Boer, M.J.
1991
13 1-4 p. 463-467
5 p.
artikel
98 Substituted polyhydroxystyrenes as matrix resins for chemically amplified deep UV resist materials Pawlowski, Georg
1991
13 1-4 p. 29-32
4 p.
artikel
99 Surface imaging techniques Roland, B.
1991
13 1-4 p. 11-18
8 p.
artikel
100 Temperature effects in a RIPE reactor Petri, Richard
1991
13 1-4 p. 459-462
4 p.
artikel
101 The application of electron beam lithography to device fabrication for optical communication systems Jones, ME
1991
13 1-4 p. 385-390
6 p.
artikel
102 The electron beam proximity printing lithography, a candidate for the 0.35 and 0.25 micron chip generations Behringer, U.
1991
13 1-4 p. 361-364
4 p.
artikel
103 The formation of resist profile by TMSDEA-treatment and dry development based on oxygen-helium RIE Yun, Sun Jin
1991
13 1-4 p. 115-118
4 p.
artikel
104 The modelling and simulation of nonlinear photobleaching materials Loong, Wen-an
1991
13 1-4 p. 493-496
4 p.
artikel
105 The proximity effect in electron beam nanolithography Browne, M.T.
1991
13 1-4 p. 221-224
4 p.
artikel
106 Thermal effects in silicon membrane masks under E-beam irradiation Meissner, K.
1991
13 1-4 p. 177-180
4 p.
artikel
107 The role of self diffusion in the dry development and plasma durability of polymers Paniez, P.J.
1991
13 1-4 p. 57-60
4 p.
artikel
108 Thickness inhomogeneity during silicon X-ray mask membrane fabrication: Generation and prevention Löchel, B.
1991
13 1-4 p. 267-270
4 p.
artikel
109 Three-dimensional simulation of ion-enhanced dry-etch processes Pelka, Joachim
1991
13 1-4 p. 487-491
5 p.
artikel
110 Ti- and Be-X-ray masks with alignment windows for the LIGA process Schomburg, W.K.
1991
13 1-4 p. 323-326
4 p.
artikel
111 Time temperature and other process variables in the warm water Intermediate Development Bake Samarakone, Nandasiri
1991
13 1-4 p. 85-88
4 p.
artikel
112 Trench process with HBr chemistry in ripe Francou, Jean-Marc
1991
13 1-4 p. 425-428
4 p.
artikel
113 Ultrasensitive chemically amplified resist systems Sachdev, H.
1991
13 1-4 p. 19-22
4 p.
artikel
114 Wet and dry etching experience for sensor micromachining Puers, B.
1991
13 1-4 p. 443-446
4 p.
artikel
115 What is the optimum exposure dose for a positive resist containing poly-functional photoactive compound? Trefonas III, Peter
1991
13 1-4 p. 109-114
6 p.
artikel
116 Writing errors in an optical reticle writer Sandström, T.
1991
13 1-4 p. 135-138
4 p.
artikel
117 X-ray induced damage studies in SIC X-ray lithography mask membranes Redaelli, R.
1991
13 1-4 p. 263-266
4 p.
artikel
118 X-ray mask distortion due to radiation damage Acosta, R.E.
1991
13 1-4 p. 259-262
4 p.
artikel
119 X-ray masks with large-area 100nm-period gratings for quantum-effect device applications Yen, A.
1991
13 1-4 p. 271-274
4 p.
artikel
120 X-ray mask technology: Low stress tungsten deposition and sub-half-micron absorber fabrication by single-layer resist Suzuki, K.
1991
13 1-4 p. 255-258
4 p.
artikel
121 X-ray microlithography with a transition radiation source Goedtkindt, P.
1991
13 1-4 p. 327-330
4 p.
artikel
                             121 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland