Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             35 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Analysis of interface trap density of metal–oxide-semiconductor devices with Pr2O3 gate dielectric using conductance method Jeon, Sanghun
2011
6 p. 872-876
5 p.
artikel
2 Characterization of adhesion property between fused silica and thermoplastic polymer film in thermal nanoimprint lithography using a novel pull-off test Kim, Kwang-Seop
2011
6 p. 855-860
6 p.
artikel
3 Chemisorption sensing and analysis using silicon cantilever sensor based on n-type metal–oxide–semiconductor transistor Wang, Jian
2011
6 p. 1019-1023
5 p.
artikel
4 Contents Continued 2011
6 p. IV-
1 p.
artikel
5 Controlling of electronic parameters of GaAs Schottky diode by poly(3,4-ethylenedioxithiophene)-block-poly(ethylene glycol) organic interlayer Aydın, Mehmet Enver
2011
6 p. 867-871
5 p.
artikel
6 Development of metal etch mask by single layer lift-off for silicon nitride photonic crystals Lim, Kang-mook
2011
6 p. 994-998
5 p.
artikel
7 Direct fabricating patterns using stamping transfer process with PDMS mold of hydrophobic nanostructures on surface of micro-cavity Huang, Yi-Hao
2011
6 p. 849-854
6 p.
artikel
8 Effect of hydrogen peroxide concentration on surface micro- roughness of silicon wafer after final polishing Wang, Haibo
2011
6 p. 1010-1015
6 p.
artikel
9 Effect of interfacial fluorination on the electrical properties of the inter-poly high-k dielectrics Hsieh, Chih-Ren
2011
6 p. 945-949
5 p.
artikel
10 Effect of porogen residue on electrical characteristics of ultra low-k materials Baklanov, Mikhail R.
2011
6 p. 990-993
4 p.
artikel
11 Effect of PVP-coated silver nanoparticles using laser direct patterning process by photothermal effect Cheng, Yi-Ting
2011
6 p. 929-934
6 p.
artikel
12 Electrical characteristics and TDDB breakdown mechanism of N2-RTA-treated Hf-based high-κ gate dielectrics Lin, Cheng-Li
2011
6 p. 950-958
9 p.
artikel
13 Estimation of resist profile for line/space patterns using layer-based exposure modeling in electron-beam lithography Dai, Q.
2011
6 p. 902-908
7 p.
artikel
14 Fabrication and adhesion strength of Cu/Ni–Cr/polyimide films for flexible printed circuits Noh, Bo-In
2011
6 p. 1024-1027
4 p.
artikel
15 Fabrication of gold sub-wavelength pore array using gas-assisted hot embossing with anodic aluminum oxide (AAO) template Chang, Wei-Yi
2011
6 p. 909-913
5 p.
artikel
16 Flexible electronics: Prediction of substrate deformation during different steps of the lithography process Barink, Marco
2011
6 p. 999-1005
7 p.
artikel
17 HF contamination of 200mm Al wafers: A parallel angle resolved XPS study Pelissier, B.
2011
6 p. 861-866
6 p.
artikel
18 High-temperature lead-free solder alternatives Chidambaram, Vivek
2011
6 p. 981-989
9 p.
artikel
19 Improved switching uniformity of a carbon-based conductive-bridge type ReRAM by controlling the size of conducting filament Park, Jubong
2011
6 p. 935-938
4 p.
artikel
20 Influence of dummy active patterns on mechanical stress induced by spin-on-glass-filled shallow trench isolation in n-MOSFETs Kim, Dongwoo
2011
6 p. 882-887
6 p.
artikel
21 Inside Front Cover - Editorial Board 2011
6 p. IFC-
1 p.
artikel
22 Integration of thin film bulk acoustic resonators onto flexible liquid crystal polymer substrates Wright, R.V.
2011
6 p. 1006-1009
4 p.
artikel
23 Investigation of barrier inhomogeneities in Mo/4H–SiC Schottky diodes Boussouar, L.
2011
6 p. 969-975
7 p.
artikel
24 Multi-dimensional data registration CMOS/MEMS integrated inkjet printhead Liou, Jian-Chiun
2011
6 p. 888-901
14 p.
artikel
25 Optical characterization of anatase TiO2 films patterned by direct ultraviolet-assisted nanoimprint lithography Park, Hyeong-Ho
2011
6 p. 923-928
6 p.
artikel
26 Patterning of SiO2 nanoparticle–PMMA polymer composite microstructures based on soft lithographic techniques Singh, Akanksha
2011
6 p. 939-944
6 p.
artikel
27 Press and release imprint: Control of the flexible mold deformation and the local variation of residual layer thickness in soft UV-NIL Koo, Namil
2011
6 p. 1033-1036
4 p.
artikel
28 Realization of planar mixing by chaotic velocity in microfluidics Zhang, Kai
2011
6 p. 959-963
5 p.
artikel
29 Shear-mode PMN-PT piezoelectric single crystal resonator for microfluidic applications Zhang, Kai
2011
6 p. 1028-1032
5 p.
artikel
30 Temperature dependent current–voltage characteristics of n-Mg x Zn1− x O/p-GaN junction diodes Hsueh, Kuang-Po
2011
6 p. 1016-1018
3 p.
artikel
31 The effect of light irradiation on electrons and holes trapping in nonvolotile memory capacitors employing sub 10nm SiO2–HfO2 stacks and Au nanocrystals Mikhelashvili, V.
2011
6 p. 964-968
5 p.
artikel
32 The fabrication and dry etching of poly-Si/TaN/Mo gate stack in the metal inserted poly-Si stack structure Li, Yongliang
2011
6 p. 976-980
5 p.
artikel
33 The fabrication of three-dimensional nano-structures by defocused electron beam energy Oh, Seung Hun
2011
6 p. 914-922
9 p.
artikel
34 Ultradense silicon nanowire arrays produced via top-down planar technology Ferri, M.
2011
6 p. 877-881
5 p.
artikel
35 V2O4–PEPC composite based pressure sensor Karimov, Kh.S.
2011
6 p. 1037-1041
5 p.
artikel
                             35 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland