Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             152 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 A CMOS/buried-n-channel CCD compatible process for analog signal processing applications 1978
17 4 p. 422-
1 p.
artikel
2 A comparison of simple and numerical two-dimensional modesla for the threshold voltage of short channel MOSTS 1978
17 4 p. 423-424
2 p.
artikel
3 A complete microcomputer on a chip 1978
17 4 p. 423-
1 p.
artikel
4 A-d converter chip resolves 10 bits 1978
17 4 p. 421-
1 p.
artikel
5 A decomposition method for computing system reliability by a Boolean expression 1978
17 4 p. 418-
1 p.
artikel
6 Adhesion measurements of metallizations for hybrid microcircuits 1978
17 4 p. 427-
1 p.
artikel
7 Ageing tests on microwave integrated circuits 1978
17 4 p. 414-
1 p.
artikel
8 Allocation of test effort for minimum variance of reliability 1978
17 4 p. 417-
1 p.
artikel
9 Alloy element additions to gold thick film conductors: effects on indium/lead soldering and ultrasonic aluminum wire bonding 1978
17 4 p. 426-427
2 p.
artikel
10 All-tantalum wet-slug capacitor overcomes catastrophic failure 1978
17 4 p. 413-
1 p.
artikel
11 Analog 1/0 hybrids simplify microprocessor interlaces 1978
17 4 p. 426-
1 p.
artikel
12 Analysis of non-catastrophic failures in electronic devices due to random noise 1978
17 4 p. 418-
1 p.
artikel
13 Analysis of superposition errors in wafer fabrication 1978
17 4 p. 422-
1 p.
artikel
14 An analysis of diffusion process control 1978
17 4 p. 420-
1 p.
artikel
15 A new 96 percent improved alumina substrate 1978
17 4 p. 426-
1 p.
artikel
16 An ion implanted bipolar silicon integrated circuit process 1978
17 4 p. 428-
1 p.
artikel
17 An optimal decision rule for repair vs replacement 1978
17 4 p. 417-
1 p.
artikel
18 A reduction technique for obtaining a simplified reliability expression 1978
17 4 p. 418-
1 p.
artikel
19 A study of the reliability of microwave transistors 1978
17 4 p. 413-414
2 p.
artikel
20 A test approach for commercial communication satellites 1978
17 4 p. 419-
1 p.
artikel
21 Automatic registration in an electron-beam lithographic system 1978
17 4 p. 429-
1 p.
artikel
22 Availability of a certain item within a system 1978
17 4 p. 418-419
2 p.
artikel
23 Availability prediction by using a method of simulation 1978
17 4 p. 419-
1 p.
artikel
24 A variance minimization method of reliability design 1978
17 4 p. 417-
1 p.
artikel
25 Bubble memory as small mass storage 1978
17 4 p. 422-423
2 p.
artikel
26 Calculating the cost of testing LSI chips 1978
17 4 p. 419-420
2 p.
artikel
27 Calendar of international conferences, symposia, lectures and meetings of interest 1978
17 4 p. 405-407
3 p.
artikel
28 CALHYM: A computer program for the automatic layout of large digital hybrid microcircuits 1978
17 4 p. 422-
1 p.
artikel
29 Capacitors of thin insulating films of photoresist materials Singh, Awatar
1978
17 4 p. 441-444
4 p.
artikel
30 Capture cross-section of excitons on neutral indium impurities in silicon 1978
17 4 p. 425-
1 p.
artikel
31 Characterization of properties of nickel in silicon using thermally stimulated capacitance method 1978
17 4 p. 425-
1 p.
artikel
32 Chip carriers are making inroads 1978
17 4 p. 420-
1 p.
artikel
33 Combined environment reliability test (CERT) 1978
17 4 p. 417-
1 p.
artikel
34 Comparison of arc erosive and laser beam trimming of thin film resistors 1978
17 4 p. 426-
1 p.
artikel
35 Component reliability exposed to thermal neutron environment—I 1978
17 4 p. 415-
1 p.
artikel
36 Component reliability exposed to thermal neutron environment—II 1978
17 4 p. 415-
1 p.
artikel
37 Component reliability exposed to thermal neutron environment—III 1978
17 4 p. 415-
1 p.
artikel
38 Corona discharge—electrostatic method for deposition of powdered passivation glass on semiconductor devices 1978
17 4 p. 420-421
2 p.
artikel
39 Correction of nonlinear deflection distortion in a direct exposure electron-beam system 1978
17 4 p. 428-
1 p.
artikel
40 Cost model for testing program based on nonhomogeneous poisson failure model 1978
17 4 p. 416-
1 p.
artikel
41 Criteria of low-noise thick-film resistors 1978
17 4 p. 426-
1 p.
artikel
42 Crystal growth by molecular beam epitaxy 1978
17 4 p. 428-
1 p.
artikel
43 Decision making in reliability 1978
17 4 p. 416-
1 p.
artikel
44 Determination of gold concentration and the effective impurity doping at the silicon/silicon-dioxide interface 1978
17 4 p. 423-
1 p.
artikel
45 Effect of substrate bias on properties of rf-sputtered Cr-SiO films 1978
17 4 p. 426-
1 p.
artikel
46 Effects of electrostatic discharge on linear bipolar integrated circuits 1978
17 4 p. 416-
1 p.
artikel
47 Electron optics of an electron-beam lithographic system 1978
17 4 p. 428-
1 p.
artikel
48 Etude d'un bloc de calcul a CCD pour le traitement numerique du signal 1978
17 4 p. 422-
1 p.
artikel
49 Experimental evidence against the shell model of bound multiexciton complexes in silicon 1978
17 4 p. 425-
1 p.
artikel
50 Factors affecting laser-trim stability of thick film resistors 1978
17 4 p. 427-
1 p.
artikel
51 Factors governing aluminium interconnection corrosion in plastic encapsulated microelectronic devices 1978
17 4 p. 415-
1 p.
artikel
52 Failure-rate as a function of time due to lognormal life distribution(s) of weak parts 1978
17 4 p. 419-
1 p.
artikel
53 Gate protection for CMOS/SOS 1978
17 4 p. 415-416
2 p.
artikel
54 Green's function calculating of electric fields in surface-channel charge-coupled devices 1978
17 4 p. 423-
1 p.
artikel
55 High-pressure pyrogenic oxidation in the production environment 1978
17 4 p. 421-
1 p.
artikel
56 Hot-electron emission from silicon into silicon dioxide 1978
17 4 p. 425-426
2 p.
artikel
57 Impact of the frequency of technician visits on facility failure rate 1978
17 4 p. 417-418
2 p.
artikel
58 Improved hybrid circuit assembly yields and reliability by glassivation of the semiconductor chip 1978
17 4 p. 413-
1 p.
artikel
59 Industry standard for B-Series CMOS 1978
17 4 p. 420-
1 p.
artikel
60 Influence of interface charge inhomogeneities on the measurement of surface state densities in Si-SiO2 interfaces by means of the MOS a.c. conductance technique 1978
17 4 p. 424-425
2 p.
artikel
61 Influence of the metal migration from screen-and-fired terminations on the electrical characteristics of thick-film resistors 1978
17 4 p. 427-
1 p.
artikel
62 Integrated circuits for data transmission 1978
17 4 p. 422-
1 p.
artikel
63 Ion implantation for semiconductor devices 1978
17 4 p. 429-
1 p.
artikel
64 Ion milling for semiconductor production processes 1978
17 4 p. 429-
1 p.
artikel
65 Japanese laboratory is growing 5-in.-diameter ingots 1978
17 4 p. 421-
1 p.
artikel
66 65-k RAMs won't slight performance 1978
17 4 p. 422-
1 p.
artikel
67 Literature survey on three-state device reliability systems 1978
17 4 p. 413-
1 p.
artikel
68 Low frequency noise measurements on silicon-on-sapphire (SOS) MOS transistors 1978
17 4 p. 424-
1 p.
artikel
69 LSI: the testing nightmare 1978
17 4 p. 418-
1 p.
artikel
70 Magnetic fields and the stability of charge-density—wave ground-states in silicon inversion layers 1978
17 4 p. 425-
1 p.
artikel
71 Masks for printing thick-film circuits 1978
17 4 p. 426-
1 p.
artikel
72 Maximum reliability route subject to k-improvements in a directed network 1978
17 4 p. 417-
1 p.
artikel
73 Memory makers brace for bubble battle 1978
17 4 p. 420-
1 p.
artikel
74 8086 microcomputer bridges the gap between 8- and 16-bit designs 1978
17 4 p. 423-
1 p.
artikel
75 Microprocessor analysers in the development and support of microprocessor based systems 1978
17 4 p. 421-
1 p.
artikel
76 Microscopic techniques in the analysis of mechanical failures 1978
17 4 p. 414-
1 p.
artikel
77 Modern physics brings semiconductor technology to a turning point 1978
17 4 p. 420-
1 p.
artikel
78 Morphology and electrical parameters of MOS microelectronics circuits 1978
17 4 p. 420-
1 p.
artikel
79 Mospower—the challenge to power bipolars 1978
17 4 p. 420-
1 p.
artikel
80 Multiobjective reliability and redundancy optimization of a series-parallel system by the Surrogate Worth Trade-off method Sakawa, Masatoshi
1978
17 4 p. 465-467
3 p.
artikel
81 Negative differential resistance in (100) n-channel silicon inversion layers 1978
17 4 p. 426-
1 p.
artikel
82 New analogue component concepts for digital processing systems 1978
17 4 p. 421-422
2 p.
artikel
83 New reaction kinetic aspects of thermal oxidation of sputtered tantalum (nitride) layers 1978
17 4 p. 427-
1 p.
artikel
84 Noise injection as a measure of semiconductor component performance and degradation 1978
17 4 p. 414-
1 p.
artikel
85 One chip controllers and 4-K static rams star 1978
17 4 p. 422-
1 p.
artikel
86 On the temperature dependent cyclotron resonance lineshape of inversion-layer electrons in Si 1978
17 4 p. 425-
1 p.
artikel
87 Optimal placement of spare modules in a cascaded chain 1978
17 4 p. 419-
1 p.
artikel
88 Optimal replacement rate of devices with lognormal failure distributions 1978
17 4 p. 416-417
2 p.
artikel
89 Optimal selection of sequential tests for reliability 1978
17 4 p. 417-
1 p.
artikel
90 Optimisation of thin and thick film technology for hybrid microwave circuits 1978
17 4 p. 428-
1 p.
artikel
91 Optimum number of checks in checking policy 1978
17 4 p. 419-
1 p.
artikel
92 Optimum redundancy of repairable modules 1978
17 4 p. 418-
1 p.
artikel
93 Origin and effects of negative ions in the sputtering of intermetallic compounds 1978
17 4 p. 427-428
2 p.
artikel
94 Oscillators for use in integrated microwave technique 1978
17 4 p. 422-
1 p.
artikel
95 Plasma process development and monitoring via mass spectrometry 1978
17 4 p. 421-
1 p.
artikel
96 Plastic encapsulated semiconductor devices—A bibliography 1978
17 4 p. 419-
1 p.
artikel
97 Powerful LSI devices bow at silver anniversary (Solid State Circuits Conference, San Francisco) 1978
17 4 p. 423-
1 p.
artikel
98 Probability of displacement damage in a component exposed to nuclear radiation stress from the viewpoint of reliability Lal, Krishan
1978
17 4 p. 435-439
5 p.
artikel
99 Prognostication of drift failures 1978
17 4 p. 413-
1 p.
artikel
100 Prospects for GaAs mosfet integration 1978
17 4 p. 421-
1 p.
artikel
101 Queuing models for estimating aircraft fleet availability 1978
17 4 p. 416-
1 p.
artikel
102 Random point processes applied to availability analysis of redundant systems with repair 1978
17 4 p. 418-
1 p.
artikel
103 Reactive sputtering of NiCr resistors with closely adjustable temperature coefficient of resistance 1978
17 4 p. 427-
1 p.
artikel
104 Recent patents on microelectronics 1978
17 4 p. 409-412
4 p.
artikel
105 Reduced gold-plating on copper leads for thermocompression bonding—Part I—Initial characterization 1978
17 4 p. 421-
1 p.
artikel
106 Reed switch reliability Saraf, R.K.
1978
17 4 p. 431-433
3 p.
artikel
107 Reliability analysis of standby repairable systems when an emergency occurs Nakagawa, T.
1978
17 4 p. 461-464
4 p.
artikel
108 Reliability growth apportionment 1978
17 4 p. 416-
1 p.
artikel
109 Reliability improvement by redundancy voting in analogue electronic systems 1978
17 4 p. 419-
1 p.
artikel
110 Reliability study of wire bonds to silver plated surfaces 1978
17 4 p. 415-
1 p.
artikel
111 Reliability testing of BAC hybrid circuits 1978
17 4 p. 414-
1 p.
artikel
112 Remarks on wire and die bonding for hybrid circuits 1978
17 4 p. 426-
1 p.
artikel
113 Resistivity of phosphorus-doped sputter-deposited polycrystalline silicon films 1978
17 4 p. 424-
1 p.
artikel
114 Review of experimental aspects of hot electron transport in MOS structures 1978
17 4 p. 425-
1 p.
artikel
115 RIW with cost sharing 1978
17 4 p. 417-
1 p.
artikel
116 Role of assurance technologies in M-PRT evaluation 1978
17 4 p. 417-
1 p.
artikel
117 Sample-hold chip delivers precision 1978
17 4 p. 422-
1 p.
artikel
118 Scanning electron-beam system turns out IC wafers fast 1978
17 4 p. 428-
1 p.
artikel
119 Selecting the prior distribution in Bayesian estimation 1978
17 4 p. 418-
1 p.
artikel
120 Semiconductors: toeing the (microfine) line 1978
17 4 p. 421-
1 p.
artikel
121 Sensitivity of Bayes estimates of reciprocal MTBF and reliability to an incorrect failure model 1978
17 4 p. 419-
1 p.
artikel
122 Silicon surface emission of hot electrons 1978
17 4 p. 425-
1 p.
artikel
123 Simulating maintenance work in an engineering firm: a case study 1978
17 4 p. 418-
1 p.
artikel
124 Some experiences and conclusions using soldered and welded packages for hermetic thick film hybrids 1978
17 4 p. 427-
1 p.
artikel
125 Statistical methods for estimating variance components for integrated circuits device parameters 1978
17 4 p. 414-
1 p.
artikel
126 Studies of second breakdown in silicon diodes 1978
17 4 p. 414-
1 p.
artikel
127 Superlinearity in a Bi-implanted CdS thin film 1978
17 4 p. 428-
1 p.
artikel
128 Suppression of premature dielectric breakdown for high-voltage capacitance measurements 1978
17 4 p. 414-
1 p.
artikel
129 Surface potentials of interacting metallic films 1978
17 4 p. 427-
1 p.
artikel
130 Surface quantum oscillations in n-type (100) silicon inversion layers on sapphire 1978
17 4 p. 424-
1 p.
artikel
131 System availability and optimum spare units 1978
17 4 p. 416-
1 p.
artikel
132 Tests show spotty LSI records 1978
17 4 p. 415-
1 p.
artikel
133 The construction and reliability of Schottky diodes Croft, D.C.
1978
17 4 p. 445-455
11 p.
artikel
134 The distributed RC variable-frequency phase-shift oscillator Miller, C.A.
1978
17 4 p. 457-460
4 p.
artikel
135 The effects of trimming on the current noise of thick film resistors 1978
17 4 p. 426-
1 p.
artikel
136 The evaluation of CMOS static-charge protection networks and failure mechanisms associated with overstress conditions as related to device life 1978
17 4 p. 415-
1 p.
artikel
137 The obsolescence of the microprocessor 1978
17 4 p. 420-
1 p.
artikel
138 Theory of switching phenomena in metal/semi-insulator/n-p silicon devices 1978
17 4 p. 424-
1 p.
artikel
139 The role of customer Q.A. in reducing hybrid reliability problems 1978
17 4 p. 413-
1 p.
artikel
140 Thin-film hybrid circuits 1978
17 4 p. 426-
1 p.
artikel
141 Thin film integrated RC-networks with compensated temperature coefficients of R and C 1978
17 4 p. 427-
1 p.
artikel
142 Time dependent unavailability analysis of nuclear safety systems 1978
17 4 p. 416-
1 p.
artikel
143 Timer IC circuit separates rep rate and duty cycle 1978
17 4 p. 422-
1 p.
artikel
144 Transferred electron effects in n-GaAs and n-InP under hydrostatic pressure 1978
17 4 p. 425-
1 p.
artikel
145 Transistor gain and diffusion effects 1978
17 4 p. 421-
1 p.
artikel
146 Transport of hot carriers in semiconductor quantized inversion layers 1978
17 4 p. 423-
1 p.
artikel
147 Une methode de conception rapide et fiable des circuits integres avec controle automatique de l'implantation 1978
17 4 p. 420-
1 p.
artikel
148 Une nouvelle technique de croissance epitaxiale de couches ultraminces d'arseniure de gallium par cracking de composes organometalliques sous pression reduite 1978
17 4 p. 423-
1 p.
artikel
149 2-Unit redundant systems with replacement and repair 1978
17 4 p. 418-
1 p.
artikel
150 Voltage breakdown characteristics of close spaced aluminum arc gap structures on oxidised silicon 1978
17 4 p. 415-
1 p.
artikel
151 What to look for in analog input/output boards 1978
17 4 p. 420-
1 p.
artikel
152 X-band microwave integrated circuits using slotline and coplanar waveguide 1978
17 4 p. 422-
1 p.
artikel
                             152 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland