Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             140 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Ab initio study of high permittivity phase stabilization in HfSiO Fischer, D.
2007
9-10 p. 2039-2042
4 p.
artikel
2 Acknowledgements 2007
9-10 p. xv-
1 p.
artikel
3 A consistent model for the hard breakdown distribution including digital soft breakdown: the noble art of area scaling Roussel, Ph.J.
2007
9-10 p. 1925-1928
4 p.
artikel
4 Amorphous hafnium silicates: structural, electronic and dielectric properties Broqvist, Peter
2007
9-10 p. 2416-2419
4 p.
artikel
5 Analysis of the post-breakdown current in HfO2/TaN/TiN gate stack MOSFETs for low applied biases Miranda, E.
2007
9-10 p. 1960-1963
4 p.
artikel
6 A physics-based deconstruction of the percolation model of oxide breakdown Suñé, J.
2007
9-10 p. 1917-1920
4 p.
artikel
7 Application of combinatorial methodologies for work function engineering of metal gate/high-κ advanced gate stacks Green, M.L.
2007
9-10 p. 2209-2212
4 p.
artikel
8 Application of group electronegativity concepts to the effective work functions of metal gate electrodes on high-κ gate oxides Schaeffer, J.K.
2007
9-10 p. 2196-2200
5 p.
artikel
9 Atomic layer deposition of ZrO2 and HfO2 on deep trenched and planar silicon Kukli, Kaupo
2007
9-10 p. 2010-2013
4 p.
artikel
10 Atomic - vapour - deposited HfO2 and Sr4Ta2O9 layers for metal-insulator-metal applications Lukosius, M.
2007
9-10 p. 2165-2168
4 p.
artikel
11 Author Index 2007
9-10 p. 2420-2439
20 p.
artikel
12 Automatic statistical full quantum analysis of C-V and I-V characteristics for advanced MOS gate stacks Leroux, C.
2007
9-10 p. 2408-2411
4 p.
artikel
13 Band-edge metal gate materials for atomic-layer-deposited HfO2 for future CMOS technology Hasan, Musarrat
2007
9-10 p. 2205-2208
4 p.
artikel
14 Band engineering in the high-k dielectrics gate stacks Wang, S.J.
2007
9-10 p. 2332-2335
4 p.
artikel
15 Borderless silicon nitride defect behaviour and their influences on initial data loss in single polysilicon flash memories Beylier, G.
2007
9-10 p. 1990-1993
4 p.
artikel
16 Characterization of chemical bonding features and defect state density in HfSiOx Ny/SiO2 gate stack Ohta, A.
2007
9-10 p. 2386-2389
4 p.
artikel
17 Characterization of electrically active defects in high-k gate dielectrics by using low frequency noise and charge pumping measurements Xiong, H.D.
2007
9-10 p. 2230-2234
5 p.
artikel
18 Charge carrier generation/trapping mechanisms in HfO2/SiO2 stack Samanta, Piyas
2007
9-10 p. 1964-1967
4 p.
artikel
19 Charge pumping spectroscopy: HfSiON defect study after substrate hot electron injection Toledano-Luque, M.
2007
9-10 p. 1943-1946
4 p.
artikel
20 Charge trapping in ultrathin Gd2O3 high-k dielectric Nazarov, A.N.
2007
9-10 p. 1968-1971
4 p.
artikel
21 CMOS gate oxide defects induced by pre-gate plasma process Carrère, J-P.
2007
9-10 p. 2109-2112
4 p.
artikel
22 Comparing GaAs and In0.15Ga0.85As as channel material for alternative substrate CMOS Brammertz, G.
2007
9-10 p. 2154-2157
4 p.
artikel
23 Comparison of stressed Poly-Si and TiN gated Hf-based NMOSFETs characteristics, modeling and their impact on circuits performance Aguilera, L.
2007
9-10 p. 2113-2116
4 p.
artikel
24 Compound semiconductor MOSFETs Droopad, R.
2007
9-10 p. 2138-2141
4 p.
artikel
25 Concurrent HCI-NBTI: worst case degradation condition for 65 nm p-channel SOI MOSFETs Mishra, R.
2007
9-10 p. 2085-2088
4 p.
artikel
26 Contents 2007
9-10 p. iv-xii
nvt p.
artikel
27 Core level photoemission study of nitrided hafnium silicate thin films Barrett, N.
2007
9-10 p. 2302-2305
4 p.
artikel
28 Current transport mechanisms in (HfO2)x(SiO2) 1−x/SiO2gate stacks Mitrovic, I.Z.
2007
9-10 p. 2306-2309
4 p.
artikel
29 Defect reduction by suppression of π-bonding coupling in nano- and non-crystalline high-(medium)-κ gate dielectrics Lucovsky, G.
2007
9-10 p. 2350-2353
4 p.
artikel
30 Development of robust interfaces based on crystalline γ-Al2O3(001) for subsequent deposition of amorphous high-κ oxides Merckling, C.
2007
9-10 p. 2243-2246
4 p.
artikel
31 Device physics of capacitive MEMS Felnhofer, D.
2007
9-10 p. 2158-2164
7 p.
artikel
32 Dielectric quality and reliability of FUSI/HfSiON devices with process induced strain Shickova, A.
2007
9-10 p. 1906-1909
4 p.
artikel
33 Dose rate dependence of the back gate degradation in thin gate oxide PD-SOI MOSFETs by 2-MeV electron irradiation Hayama, K.
2007
9-10 p. 2125-2128
4 p.
artikel
34 Dual layer SrTiO3/HfO2 gate dielectric for aggressively scaled band-edge nMOS devices Choi, C.
2007
9-10 p. 2217-2221
5 p.
artikel
35 Effect of degas before metal gate deposition on the threshold voltage Pétry, J.
2007
9-10 p. 2255-2258
4 p.
artikel
36 Effects of thermal treatments on chemical composition and electrical properties of ultra-thin Lu oxide layers on Si Zenkevich, A.
2007
9-10 p. 2263-2266
4 p.
artikel
37 Electrical and material property enhancement in HfTaSiON-gated MOS devices by tuning Hf composition Tsai, Ping-Hung
2007
9-10 p. 1902-1905
4 p.
artikel
38 Electrical and reliability characterization of metal-gate/HfO2/Ge FET’s with Si passivation Kaczer, B.
2007
9-10 p. 2067-2070
4 p.
artikel
39 Electrical characteristic fluctuations in 16 nm bulk-FinFET devices Li, Yiming
2007
9-10 p. 2093-2096
4 p.
artikel
40 Electrical characterization of directly deposited La-Sc oxides complex for gate insulator application Kawanago, T.
2007
9-10 p. 2235-2238
4 p.
artikel
41 Electrical stress on irradiated thin gate oxide partially depleted SOI nMOSFETs Rafí, J.M.
2007
9-10 p. 2081-2084
4 p.
artikel
42 Electronic structure of insulator-confined ultra-thin Si channels Sushko, P.V.
2007
9-10 p. 2043-2046
4 p.
artikel
43 Electronic structure of silicon interfaces with amorphous and epitaxial insulating oxides: Sc2O3, Lu2O3, LaLuO3 Afanas’ev, V.V.
2007
9-10 p. 2278-2281
4 p.
artikel
44 Engineering the interface between epitaxial lanthanide oxide thin films and Si substrates: a route towards tuning the electrical properties Laha, Apurba
2007
9-10 p. 2282-2285
4 p.
artikel
45 Enhancement in thermal stability of atomic layer deposited HfO2 films by using top Hf metal layer Park, Tae Joo
2007
9-10 p. 2226-2229
4 p.
artikel
46 Epitaxial germanium-on-insulator grown on (001) Si Seo, J.W.
2007
9-10 p. 2328-2331
4 p.
artikel
47 EPR study of defects in as-received, γ-irradiated and annealed monoclinic HfO2 powder Wright, Sandra
2007
9-10 p. 2378-2381
4 p.
artikel
48 Evidence of hafnia oxygen vacancy defects in MOCVD grown Hf x Si1−x O y ultrathin gate dielectrics gated with Ru electrode Ťapajna, M.
2007
9-10 p. 2366-2369
4 p.
artikel
49 Experimental and theoretical study of Ge surface passivation Houssa, M.
2007
9-10 p. 2267-2273
7 p.
artikel
50 Extraction of gate-edge workfunction of metal gate and its impact on scaled MOSFETs Mise, N.
2007
9-10 p. 2201-2204
4 p.
artikel
51 Extrinsic stacking fault generation related to high–k dielectric growth on a Si substrate Volkos, S.N.
2007
9-10 p. 2374-2377
4 p.
artikel
52 Fabrication and characterization of M-I-FIS ferroelectric-gate structures using HfAlOx buffer layer Tokumitsu, E.
2007
9-10 p. 2018-2021
4 p.
artikel
53 Fin-height controlled TiN-gate FinFET CMOS based on experimental mobility Liu, Y.X.
2007
9-10 p. 2101-2104
4 p.
artikel
54 First principles investigation of defects at interfaces between silicon and amorphous high-κ oxides Broqvist, Peter
2007
9-10 p. 2022-2027
6 p.
artikel
55 Forming gas anneal induced flat-band voltage shift of metal-oxide-semiconductor stacks and its link with hydrogen incorporation in metal gates Li, Z.
2007
9-10 p. 2213-2216
4 p.
artikel
56 Gate dielectric formation and MIS interface characterization on Ge Takagi, S.
2007
9-10 p. 2314-2319
6 p.
artikel
57 Germanium metal-insulator-semiconductor capacitors with rare earth La2O3 gate dielectric Mavrou, G.
2007
9-10 p. 2324-2327
4 p.
artikel
58 Hafnium silicate as control oxide in non-volatile memories Erlbacher, T.
2007
9-10 p. 2239-2242
4 p.
artikel
59 HCI degradation model based on the diffusion equation including the MVHR model Lachenal, D.
2007
9-10 p. 1921-1924
4 p.
artikel
60 High performance and highly reliable novel CMOS devices using accumulation mode multi-gate and fully depleted SOI MOSFETs Cheng, W.
2007
9-10 p. 2105-2108
4 p.
artikel
61 High performance, uniaxially-strained, silicon and germanium, double-gate p-MOSFETs Krishnamohan, T.
2007
9-10 p. 2063-2066
4 p.
artikel
62 Hot-carrier damage from high to low voltage using the energy-driven framework Guerin, C.
2007
9-10 p. 1938-1942
5 p.
artikel
63 Hydrogen desorption and diffusion in PECVD silicon nitride. Application to passivation of CMOS active pixel sensors Benoit, D.
2007
9-10 p. 2169-2172
4 p.
artikel
64 Hydrogen effects in MOS devices Tsetseris, L.
2007
9-10 p. 2344-2349
6 p.
artikel
65 Hydrogen induced positive charge in Hf-based dielectrics Zhao, C.Z.
2007
9-10 p. 2354-2357
4 p.
artikel
66 III-V field-effect transistors for low power digital logic applications Datta, Suman
2007
9-10 p. 2133-2137
5 p.
artikel
67 Impact of high-κ and SiO2 interfacial layer thickness on low-frequency (1/f) noise in aggressively scaled metal gate/HfO2 n-MOSFETs: role of high-κ phonons Srinivasan, P.
2007
9-10 p. 2274-2277
4 p.
artikel
68 Impact of process conditions on interface and high-κ trap density studied by variable T charge-T discharge charge pumping (VT2CP) Zahid, M.B.
2007
9-10 p. 1951-1955
5 p.
artikel
69 Impact of temperature on non-equilibrium Fowler-Nordheim EEPROM programming Baboux, N.
2007
9-10 p. 2006-2009
4 p.
artikel
70 Impact of weak Fermi-level pinning on the correct interpretation of III-V MOS C-V and G-V characteristics Martens, K.
2007
9-10 p. 2146-2149
4 p.
artikel
71 Improved electrical characteristics of high-k gated MOS devices by nitrogen incorporation with plasma immersion ion implantation (PIII) Tsai, Ping-Hung
2007
9-10 p. 2192-2195
4 p.
artikel
72 Improved reliability of Ge MOS capacitor with HfTiON high-k dielelctric by using Ge surface pretreatment in wet NO Li, C.X.
2007
9-10 p. 2340-2343
4 p.
artikel
73 Improvement of interfacial properties with interfacial layer in La2O3/Ge structure Song, J.
2007
9-10 p. 2336-2339
4 p.
artikel
74 Improvement of memory properties for MANOS-type nonvolatile memory devices with high-pressure wet vapor annealing Chang, Man
2007
9-10 p. 2002-2005
4 p.
artikel
75 Improvements of ozone surface treatment on the electrical characteristics and reliability in HfO2 gate stacks Chen, Shih-Chang
2007
9-10 p. 1898-1901
4 p.
artikel
76 Independent double-gate FinFETs with asymmetric gate stacks Masahara, M.
2007
9-10 p. 2097-2100
4 p.
artikel
77 In-depth investigation of the mechanisms impacting C-V/G-V characteristics of Ge/GeON/HfO2/TiN stacks by electrical modeling Batude, P.
2007
9-10 p. 2320-2323
4 p.
artikel
78 INFOS 2007 Conference Committees 2007
9-10 p. xiv-
1 p.
artikel
79 Inside Front Cover - Editorial Board 2007
9-10 p. IFC-
1 p.
artikel
80 In-situ MBE Si as passivating interlayer on GaAs for HfO2 MOSCAP’s: effect of GaAs surface reconstruction Webb, D.J.
2007
9-10 p. 2142-2145
4 p.
artikel
81 Integration of functional epitaxial oxides into silicon: from high-k application to nanostructures Osten, H.J.
2007
9-10 p. 2222-2225
4 p.
artikel
82 Integration of gas cluster process for copper interconnects reliability improvement and process impact evaluation on BEOL dielectric materials Gras, R.
2007
9-10 p. 2184-2187
4 p.
artikel
83 Interrelationship between electrical and physical properties of subcritical Si-Ge layers grown directly on silicon for short channel high-performance pMOSFETs Kelly, D.Q.
2007
9-10 p. 2054-2057
4 p.
artikel
84 Intrinsic and defect-assisted trapping of electrons and holes in HfO2: an ab initio study Ramo, D. Muñoz
2007
9-10 p. 2362-2365
4 p.
artikel
85 Investigation of polarization mechanisms on unibond buried oxide layer Tsouti, V.
2007
9-10 p. 2129-2132
4 p.
artikel
86 La-based ternary rare-earth oxides as alternative high-κ dielectrics Lopes, J.M.J.
2007
9-10 p. 1890-1893
4 p.
artikel
87 Length scales for coherent π-bonding interactions in complex high-k oxide dielectrics and their interfaces Seo, H.
2007
9-10 p. 2298-2301
4 p.
artikel
88 Long time transients in hafnium oxide Puzzilli, G.
2007
9-10 p. 2394-2397
4 p.
artikel
89 Low-leakage MIS structures with 1.5-6 nm CaF2 insulating layer on Si(111) Sokolov, N.S.
2007
9-10 p. 2247-2250
4 p.
artikel
90 Low temperature influence on the uniaxially strained FD SOI nMOSFETs behavior de Souza, M.
2007
9-10 p. 2121-2124
4 p.
artikel
91 Materials and device structures for sub-32 nm CMOS nodes Skotnicki, Thomas
2007
9-10 p. 1845-1852
8 p.
artikel
92 Memory technology in the future Kim, Kinam
2007
9-10 p. 1976-1981
6 p.
artikel
93 Metal gate electrodes for devices with high-k gate dielectrics: Zr/ZrO2 and Hf/HfO2 intrinsic interfacial transition regions Lucovsky, G.
2007
9-10 p. 2259-2262
4 p.
artikel
94 Mobility extraction using RFCV for 80nm MOSFET with 1nm EOT HfSiON/TiN San Andrés, E.
2007
9-10 p. 1878-1881
4 p.
artikel
95 Modeling HfO2/SiO2/Si interface Gavartin, J.L.
2007
9-10 p. 2412-2415
4 p.
artikel
96 Modeling of remote Coulomb scattering limited mobility in MOSFET with HfO2/SiO2 gate stacks Barraud, S.
2007
9-10 p. 2404-2407
4 p.
artikel
97 Modified space-charge limited conduction in tantalum pentoxide MIM capacitors Martinez, V.
2007
9-10 p. 2310-2313
4 p.
artikel
98 Modulation of the effective work function of fully-silicided (FUSI) gate stacks Kittl, J.A.
2007
9-10 p. 1857-1860
4 p.
artikel
99 Monitoring plasma nitridation of HfSiOx by corona charge measurements Everaert, J-L.
2007
9-10 p. 2251-2254
4 p.
artikel
100 Monte Carlo simulations of InGaAs nano-MOSFETs Kalna, K.
2007
9-10 p. 2358-2361
4 p.
artikel
101 Monte Carlo simulations of InGaAs nano-MOSFETs Kalna, K.
2007
9-10 p. 2150-2153
4 p.
artikel
102 Multi-gate SOI MOSFETs Colinge, J.P.
2007
9-10 p. 2071-2076
6 p.
artikel
103 Nanoscale imaging and X-ray spectroscopy of electrically active defects in ultra thin dielectrics on silicon Bernardini, S.
2007
9-10 p. 2286-2289
4 p.
artikel
104 Nickel nanoparticle deposition at room temperature for memory applications Verrelli, E.
2007
9-10 p. 1994-1997
4 p.
artikel
105 0.6nm-EOT high-k gate stacks with HfSiOx interfacial layer grown by solid-phase reaction between HfO2 and Si substrate Ogawa, A.
2007
9-10 p. 1861-1864
4 p.
artikel
106 Non-classical hot-electron mechanism and its implications on the reliability and scalability of the high-κ dielectric N-MOS Flash memory cell Zhang, Y.
2007
9-10 p. 1929-1933
5 p.
artikel
107 On the RTS phenomenon and trap nature in Flash memory tunnel oxide Fantini, P.
2007
9-10 p. 1998-2001
4 p.
artikel
108 Oxide interface studies using second harmonic generation Tolk, N.H.
2007
9-10 p. 2089-2092
4 p.
artikel
109 Oxide-nitride-oxide memory stacks formed by low-energy Si ion implantation into nitride and wet oxidation Ioannou-Sougleridis, V.
2007
9-10 p. 1986-1989
4 p.
artikel
110 Oxygen-related defects in amorphous HfO2 gate dielectrics Kaneta, C.
2007
9-10 p. 2370-2373
4 p.
artikel
111 Oxygen vacancies in high-k oxides Tse, K.
2007
9-10 p. 2028-2031
4 p.
artikel
112 Oxygen vacancy induced charge trapping and positive bias temperature instability in HfO2nMOSFET Jo, Minseok
2007
9-10 p. 1934-1937
4 p.
artikel
113 Performance and reliability of ultra-thin oxide nMOSFETs under variable body bias Crupi, F.
2007
9-10 p. 1947-1950
4 p.
artikel
114 Performance assessment of (110) p-FET high-κ/MG: is it mobility or series resistance limited? Trojman, L.
2007
9-10 p. 2058-2062
5 p.
artikel
115 Performance enhancement of Poly-Si/TiN/SiON based pMOSFETs by addition of an aluminum oxide (AlO) capping layer Singanamalla, R.
2007
9-10 p. 1865-1868
4 p.
artikel
116 Preface Dimoulas, A.
2007
9-10 p. xiii-
1 p.
artikel
117 Process-variation- and random-dopants-induced threshold voltage fluctuations in nanoscale CMOS and SOI devices Li, Yiming
2007
9-10 p. 2117-2120
4 p.
artikel
118 Protons at the Si-SiO2 interface: a first principle investigation Godet, Julien
2007
9-10 p. 2035-2038
4 p.
artikel
119 Real-time observation of charging dynamics in hafnium silicate films using MOS capacitance transients Lu, Y.
2007
9-10 p. 2390-2393
4 p.
artikel
120 Recent advances and current challenges in the search for high mobility band-edge high-k/metal gate stacks Narayanan, V.
2007
9-10 p. 1853-1856
4 p.
artikel
121 Reduction of the anomalous VT behavior in MOSFETs with high-κ/metal gate stacks Ferain, I.
2007
9-10 p. 1882-1885
4 p.
artikel
122 Reliability degradation of HfSiO gate dielectric layers: influence of nitridation Vellianitis, G.
2007
9-10 p. 1972-1975
4 p.
artikel
123 Reliability issues for nano-scale CMOS dielectrics Ribes, G.
2007
9-10 p. 1910-1916
7 p.
artikel
124 Reliability nano-characterization of thin SiO2 and HfSixOy/SiO2 gate stacks Efthymiou, E.
2007
9-10 p. 2290-2293
4 p.
artikel
125 Resistive switching in a Pt/TiO2/Pt thin film stack – a candidate for a non-volatile ReRAM Schroeder, Herbert
2007
9-10 p. 1982-1985
4 p.
artikel
126 Simulation of implant free III-V MOSFETs for high performance low power Nano-CMOS applications Asenov, A.
2007
9-10 p. 2398-2403
6 p.
artikel
127 Slow oxide trap density profile extraction using gate current low-frequency noise in ultrathin oxide MOSFETs Armand, J.
2007
9-10 p. 2382-2385
4 p.
artikel
128 SrHfO3 as gate dielectric for future CMOS technology Rossel, C.
2007
9-10 p. 1869-1873
5 p.
artikel
129 Stable and robust low-voltage pentacene transistor based on a hybrid dielectric Han, K.
2007
9-10 p. 2173-2176
4 p.
artikel
130 Strain and channel engineering for fully depleted SOI MOSFETs towards the 32 nm technology node Andrieu, F.
2007
9-10 p. 2047-2053
7 p.
artikel
131 Studies of solution processed metal oxides on silicon Shanmugasundaram, K.
2007
9-10 p. 2294-2297
4 p.
artikel
132 Systematic characterization of soft- and hard-breakdown spots using techniques with nanometer resolution Porti, M.
2007
9-10 p. 1956-1959
4 p.
artikel
133 The effect of annealing temperature on the electrical properties of metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (ZrO2)-semiconductor (MFIS) thin-film capacitors Juan, P.C.
2007
9-10 p. 2014-2017
4 p.
artikel
134 The evolution of multi-level air gap integration towards 32 nm node interconnects Daamen, R.
2007
9-10 p. 2177-2183
7 p.
artikel
135 The impact of mobility enhanced technology on device performance and reliability for sub-90 nm SOI nMOSFETs Yeh, Wen-Kuan
2007
9-10 p. 2077-2080
4 p.
artikel
136 The influence of HfO2 film thickness on the interface state density and low field mobility of n channel HfO2/TiN gate MOSFETs Negara, M.A.
2007
9-10 p. 1874-1877
4 p.
artikel
137 Theoretical analysis of high-k dielectric gate stacks Demkov, A.A.
2007
9-10 p. 2032-2034
3 p.
artikel
138 Thermal-stability improvement of LaON thin film formed using nitrogen radicals Sato, S.
2007
9-10 p. 1894-1897
4 p.
artikel
139 Understanding of the thermal stability of the hafnium oxide/TiN stack via 2 “high k” and 2 metal deposition techniques Cosnier, V.
2007
9-10 p. 1886-1889
4 p.
artikel
140 UV-O3 treatment effects on structural changes of low-k thin films Heo, Jaeyeong
2007
9-10 p. 2188-2191
4 p.
artikel
                             140 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland