Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             99 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 AC impedance analysis of Au/porous silicon contacts Fonthal, F.
2006
11-12 p. 2381-2385
5 p.
artikel
2 A comparative study of nickel silicides and nickel germanides: Phase formation and kinetics Nemouchi, F.
2006
11-12 p. 2101-2106
6 p.
artikel
3 A global view of interconnects List, Scott
2006
11-12 p. 2200-2207
8 p.
artikel
4 A maskless wet etching silicon dioxide post-CMOS process and its application Dai, Ching-Liang
2006
11-12 p. 2543-2550
8 p.
artikel
5 A new RuO4 solvent solution for pure ruthenium film depositions Gatineau, Julien
2006
11-12 p. 2248-2252
5 p.
artikel
6 Annealing effects on the properties of HfO2 films grown by metalorganic molecular beam epitaxy Moon, Tae-Hyoung
2006
11-12 p. 2452-2457
6 p.
artikel
7 A novel approach to resistivity and interconnect modeling Travaly, Y.
2006
11-12 p. 2417-2421
5 p.
artikel
8 A novel self-aligned process for platinum silicide nanowires Zhang, Zhen
2006
11-12 p. 2107-2111
5 p.
artikel
9 Assembly and reliability of PBGA packages on FR-4 PCBs with SnAgCu solder Arulvanan, P.
2006
11-12 p. 2462-2468
7 p.
artikel
10 Atomic layer deposited WN x C y films growth on SiC surfaces Martin Hoyas, A.
2006
11-12 p. 2068-2071
4 p.
artikel
11 Capacitance non-linearity study in Al2O3 MIM capacitors using an ionic polarization model Bécu, S.
2006
11-12 p. 2422-2426
5 p.
artikel
12 Challenges in advanced metallization schemes Brillouët, M.
2006
11-12 p. 2036-2041
6 p.
artikel
13 Characterization of electrical and crystallographic properties of metal layers at deca-nanometer scale using Kelvin probe force microscope Gaillard, N.
2006
11-12 p. 2169-2174
6 p.
artikel
14 Characterization of post-copper CMP surface with scanning probe microscopy: Part II: Surface potential measurements with scanning Kelvin probe force microscopy Dominget, A.
2006
11-12 p. 2355-2358
4 p.
artikel
15 Characterization of the impact of plasma treatments and wet cleaning on a porous low k material Puyrenier, W.
2006
11-12 p. 2314-2318
5 p.
artikel
16 Chemical etching solutions for air gap formation using a sacrificial oxide/polymer approach Gaillard, F.
2006
11-12 p. 2309-2313
5 p.
artikel
17 Chemical mechanical polishing of PZT thin films for FRAM applications Seo, Yong-Jin
2006
11-12 p. 2238-2242
5 p.
artikel
18 Chip-to-chip interconnections based on the wireless capacitive coupling for 3D integration Charlet, B.
2006
11-12 p. 2195-2199
5 p.
artikel
19 CMP characteristics and optical property of ITO thin film by using silica slurry with a variety of process parameters Choi, Gwon-Woo
2006
11-12 p. 2213-2217
5 p.
artikel
20 Compatibility of Hf x Ta y N metal gate electrode with HfO x N y gate dielectric for advanced CMOS technology Chang-Liao, Kuei-Shu
2006
11-12 p. 2516-2521
6 p.
artikel
21 Correlation between electromigration and Cu-contact angle after de-wetting Vanypre, T.
2006
11-12 p. 2373-2376
4 p.
artikel
22 Correlation between trench depth and TDDB thermal activation energy in single damascene Cu/SiOC:H Li, Y.-L.
2006
11-12 p. 2179-2183
5 p.
artikel
23 Crack initiation in Cu-interconnect structures Brillet-Rouxel, H.
2006
11-12 p. 2297-2302
6 p.
artikel
24 Cu/barrier CMP on porous low-k based interconnect schemes Gottfried, K.
2006
11-12 p. 2218-2224
7 p.
artikel
25 Cu surface treatment influence on Si adsorption properties of CuSiN self-aligned barriers for sub-65nm technology node Chhun, S.
2006
11-12 p. 2094-2100
7 p.
artikel
26 Decreasing step coverage of self-ionized plasma sputtered copper seed layer with target lifetime Guerrieri, S.
2006
11-12 p. 2225-2228
4 p.
artikel
27 Density functional study of the stability and electronic properties of Ta x N y compounds used as copper diffusion barriers Violet, P.
2006
11-12 p. 2077-2081
5 p.
artikel
28 Dependence of CMP-induced delamination on number of low-k dielectric films stacked Leduc, Patrick
2006
11-12 p. 2072-2076
5 p.
artikel
29 Different approaches to integrate patterned buried CoSi2 layers in SOI substrates Zimmermann, S.
2006
11-12 p. 2112-2116
5 p.
artikel
30 Editorial Board 2006
11-12 p. CO2-
1 p.
artikel
31 Effect of frictional force vector on delamination in Cu/low-k integration Matsuo, Hisanori
2006
11-12 p. 2146-2149
4 p.
artikel
32 Effect of margin widths on the residual stress in a multi-layer ceramic capacitor Park, Jong-Sung
2006
11-12 p. 2558-2563
6 p.
artikel
33 Effect of moisture adsorption on the properties of porous-silica ultralow-k films Uchida, Y.
2006
11-12 p. 2126-2129
4 p.
artikel
34 Effects of additive elements on the phase formation and morphological stability of nickel monosilicide films Lavoie, C.
2006
11-12 p. 2042-2054
13 p.
artikel
35 Effects of bonding pressure on the thermo-mechanical reliability of ACF interconnection Kim, Jong-Woong
2006
11-12 p. 2335-2340
6 p.
artikel
36 Effects of electromigration on microstructural evolution of eutectic SnPb flip chip solder bumps Kim, Dae-Gon
2006
11-12 p. 2391-2395
5 p.
artikel
37 Electrical and dielectric properties of MIS Schottky diodes at low temperatures Tataroğlu, A.
2006
11-12 p. 2551-2557
7 p.
artikel
38 Electrical properties and thermal stability of MOCVD grown Ru gate electrodes for advanced CMOS technology Ťapajna, M.
2006
11-12 p. 2412-2416
5 p.
artikel
39 Electrical properties of epitaxial NiSi2/Si contacts with extremely flat interface formed in Ni/Ti/Si(001) system Nakatsuka, Osamu
2006
11-12 p. 2272-2276
5 p.
artikel
40 Electroless deposition of CoWP: Material characterization and process optimization on 300mm wafers Decorps, T.
2006
11-12 p. 2082-2087
6 p.
artikel
41 Electron beam induced SiO2 etch selectivity and its application to oxide nano-aperture formation Kim, D.W.
2006
11-12 p. 2493-2498
6 p.
artikel
42 Embedding electronic circuits by laser direct-write Piqué, A.
2006
11-12 p. 2527-2533
7 p.
artikel
43 Evaluation of air gap structures produced by wet etch of sacrificial dielectrics: Extraction of k eff for different technology nodes and film permittivity Schulze, K.
2006
11-12 p. 2324-2328
5 p.
artikel
44 Evidence for metastable defects in airgap interconnects Guedj, C.
2006
11-12 p. 2386-2390
5 p.
artikel
45 Experimental measurements of electron scattering parameters in Cu narrow lines Maîtrejean, S.
2006
11-12 p. 2396-2401
6 p.
artikel
46 Fabrication of PDMS (polydimethylsiloxane) microlens and diffuser using replica molding Shih, Teng-Kai
2006
11-12 p. 2499-2503
5 p.
artikel
47 Fabrication of three-dimensional SiC-based ceramic micropatterns using a sequential micromolding-and-pyrolysis process Lim, Tae Woo
2006
11-12 p. 2475-2481
7 p.
artikel
48 Frequency dependent capacitance and conductance–voltage characteristics of Al/Si3N4/p-Si(100) MIS diodes Bülbül, M.M.
2006
11-12 p. 2522-2526
5 p.
artikel
49 Growth of Ru/RuO2 layers with atomic vapor deposition on plain wafers and into trench structures Manke, C.
2006
11-12 p. 2277-2281
5 p.
artikel
50 High frequencies characterization of Cu-MIM capacitors in parallel configuration for advanced integrated circuits Piquet, J.
2006
11-12 p. 2341-2345
5 p.
artikel
51 Impact of an As implant before CoSi2 formation on the sheet resistance and junction breakdown voltage Erbetta, D.
2006
11-12 p. 2258-2263
6 p.
artikel
52 Impact of dummies on interconnects network HF propagation performances for the 65nm node Blampey, B.
2006
11-12 p. 2292-2296
5 p.
artikel
53 Impact of narrow trench geometries on copper film crystallography Brunoldi, G.
2006
11-12 p. 2208-2212
5 p.
artikel
54 Impact of TiN post-treatment on metal insulator metal capacitor performances Bajolet, A.
2006
11-12 p. 2189-2194
6 p.
artikel
55 Improved electrical and reliability performance of 65nm interconnects with new barrier integration schemes Delsol, R.
2006
11-12 p. 2377-2380
4 p.
artikel
56 Influence of mass density and mechanical properties on the surface acoustic wave velocity dispersion Sekiguchi, A.
2006
11-12 p. 2368-2372
5 p.
artikel
57 In situ study of the growth kinetics and interfacial roughness during the first stages of nickel–silicide formation Ehouarne, L.
2006
11-12 p. 2253-2257
5 p.
artikel
58 Integrating ENSEMBLE™ PMD low-k at the PMD level of CMOS logic circuits Demuynck, S.
2006
11-12 p. 2303-2308
6 p.
artikel
59 Integration of a high density Ta2O5 MIM capacitor following 3D damascene architecture compatible with copper interconnects Thomas, M.
2006
11-12 p. 2163-2168
6 p.
artikel
60 Interfacial reaction of ENIG/Sn-Ag-Cu/ENIG sandwich solder joint during isothermal aging Yoon, Jeong-Won
2006
11-12 p. 2329-2334
6 p.
artikel
61 K value improvement of ULK dielectrics by wet activation Cornec, Ch. Le
2006
11-12 p. 2122-2125
4 p.
artikel
62 Laser trimming of amorphous Ta42Si13N45 thin films with ultrashort pulses Meier, Matthias
2006
11-12 p. 2234-2237
4 p.
artikel
63 Lateral crystallization of amorphous silicon by germanium seeding Hakim, M.M.A.
2006
11-12 p. 2437-2440
4 p.
artikel
64 Low temperature plasma carbon nanotubes growth on patterned catalyst Dubosc, M.
2006
11-12 p. 2427-2431
5 p.
artikel
65 Material and electrical characterization of TMS-based silicidation of the Cu-dielectric barrier interface for electromigration improvement of 65nm interconnects Plantier, L.
2006
11-12 p. 2407-2411
5 p.
artikel
66 Measuring the Young’s modulus of ultralow-k materials with the non destructive picosecond ultrasonic method Chapelon, L.L.
2006
11-12 p. 2346-2350
5 p.
artikel
67 Microcontacts with sub-30μm pitch for 3D chip-on-chip integration Huebner, H.
2006
11-12 p. 2155-2162
8 p.
artikel
68 Microfabrication processes on cylindrical substrates – Part I: Material deposition and removal Snow, Sean
2006
11-12 p. 2534-2542
9 p.
artikel
69 Microstructure and material properties of electroless CoWP films obtained from sulfamate solutions Sverdlov, Y.
2006
11-12 p. 2243-2247
5 p.
artikel
70 Microstructuring of glassy carbon mold for glass embossing – Comparison of focused ion beam, nano/femtosecond-pulsed laser and mechanical machining Youn, S.W.
2006
11-12 p. 2482-2492
11 p.
artikel
71 New techniques to characterize properties of advanced dielectric barriers for sub-65nm technology node Vitiello, J.
2006
11-12 p. 2130-2135
6 p.
artikel
72 Novel dielectric capping layer approach for advanced copper interconnects using chemical grafting Bispo, I.
2006
11-12 p. 2088-2093
6 p.
artikel
73 Phase effects and short gate length device implementation of Ni fully silicided (FUSI) gates Kittl, J.A.
2006
11-12 p. 2117-2121
5 p.
artikel
74 Physical characterization by valence electron energy loss spectroscopy Pokrant, S.
2006
11-12 p. 2364-2367
4 p.
artikel
75 Preface Torres, J.
2006
11-12 p. 2033-2035
3 p.
artikel
76 Properties of 50nm electroless films Ag–W–oxygen before and after low temperature, low activation energy resistivity decay Glickman, E.
2006
11-12 p. 2359-2363
5 p.
artikel
77 Quantification of processing damage in porous low dielectric constant films Baklanov, Mikhail R.
2006
11-12 p. 2287-2291
5 p.
artikel
78 Redistribution of arsenic during the reaction of nickel thin films with silicon at relatively high temperature: Role of agglomeration Hoummada, K.
2006
11-12 p. 2264-2267
4 p.
artikel
79 Reduction of current instabilities in silicon nanogaps Berg, Jonas
2006
11-12 p. 2469-2474
6 p.
artikel
80 Relevance of the pulsed capacitance–voltage measurement technique for the optimization of SrBi2Ta2O9/high-k stack combination to be used in FeFET devices Xu, Z.
2006
11-12 p. 2564-2569
6 p.
artikel
81 Reliability issues in Cu/low-k structures regarding the initiation of stress-voiding or crack failure Orain, S.
2006
11-12 p. 2402-2406
5 p.
artikel
82 Removal of etching/ashing residues and ashing/wet-clean damage in porous silica low-k films Yamanishi, T.
2006
11-12 p. 2142-2145
4 p.
artikel
83 Selective deposition of CVD iron on silicon dioxide and tungsten Low, Y.H.
2006
11-12 p. 2229-2233
5 p.
artikel
84 Selective etching of SiO2 over Si3N4 in a C5F8/O2/Ar plasma Kim, Gwan-Ha
2006
11-12 p. 2504-2509
6 p.
artikel
85 Self-aligned metal capping layers for copper interconnects using electroless plating Gambino, J.
2006
11-12 p. 2059-2067
9 p.
artikel
86 Self-aligned multi-level air gap integration Hoofman, R.J.O.M.
2006
11-12 p. 2150-2154
5 p.
artikel
87 Stress evolution during intermittent deposition of metallic thin films Gladyszewski, G.
2006
11-12 p. 2351-2354
4 p.
artikel
88 Study of silicide contacts to SiGe source/drain Lauwers, A.
2006
11-12 p. 2268-2271
4 p.
artikel
89 Study of the post-etch cleaning compatibility with dense and porous ULK materials – characterization of the process impact Rébiscoul, D.
2006
11-12 p. 2319-2323
5 p.
artikel
90 Study of void growth in 120nm copper lines by in situ SEM Claret, N.
2006
11-12 p. 2175-2178
4 p.
artikel
91 Suppression of carbon depletion from carbon-doped low-k dielectric layers during fluorocarbon based plasma etching Reid, I.
2006
11-12 p. 2458-2461
4 p.
artikel
92 Template synthesis of carbon nanotubes from porous alumina matrix on silicon Gras, R.
2006
11-12 p. 2432-2436
5 p.
artikel
93 The effect of Ho doping on the microstructure and optical properties of Ba0.65Sr0.35TiO3 thin films Zhang, Tianjin
2006
11-12 p. 2446-2451
6 p.
artikel
94 The parametric study of carbon nanotips grown by MWPECVD with controllable sharpness using various metallic catalysts Chen, Chien-Chung
2006
11-12 p. 2510-2515
6 p.
artikel
95 The quest of porous ELK materials for high performance logic technologies Hsia, Chin C.
2006
11-12 p. 2055-2058
4 p.
artikel
96 Tuning nickel silicide properties using a lamp based RTA, a heat conduction based RTA or a furnace anneal Waidmann, S.
2006
11-12 p. 2282-2286
5 p.
artikel
97 UV curing effects on mechanical and electrical performances of a PECVD non-porogen porous SiOC:H films (in k [2.2–2.4] range) for 45nm node and below Chapelon, L.L.
2006
11-12 p. 2136-2141
6 p.
artikel
98 Vertically aligned GaN nanotubes – Fabrication and current image analysis Hung, Shang-Chao
2006
11-12 p. 2441-2445
5 p.
artikel
99 Wide band frequency and in situ characterisation of high permittivity insulators (High-K) for H.F. integrated passives Lacrevaz, T.
2006
11-12 p. 2184-2188
5 p.
artikel
                             99 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland