Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             123 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Advanced research and development for plasma processing of polymers with combinatorial plasma-process analyzer Setsuhara, Yuichi
2010
518 22 p. 6320-6324
5 p.
artikel
2 A flexible luminary of plasma discharge Kim, Seon Ho
2010
518 22 p. 6654-6657
4 p.
artikel
3 Analysis of effective channel length variation for thin-film transistors with edge waviness in source/drain electrodes Jeong, Jaewook
2010
518 22 p. 6295-6298
4 p.
artikel
4 An investigation of contact resistance between metal electrodes and amorphous gallium–indium–zinc oxide (a-GIZO) thin-film transistors Kim, Woong-Sun
2010
518 22 p. 6357-6360
4 p.
artikel
5 Anode material properties of Ga-doped ZnO thin films by pulsed DC magnetron sputtering method for organic light emitting diodes Nam, Eunkyoung
2010
518 22 p. 6245-6248
4 p.
artikel
6 Application of tosylate-doped poly(3,4ethylenedioxythiophene) (PEDOT) films into bottom contact pentacene organic thin film transistors (OTFTs) Ali, M.A.
2010
518 22 p. 6315-6319
5 p.
artikel
7 A simple analysis on the abnormal behavior of the argon metastable density in an inductively coupled Ar plasma Park, Min
2010
518 22 p. 6694-6699
6 p.
artikel
8 Atomic oxygen generation by in-situ plasma and post-plasma in dielectric barrier discharges for surface treatment Kang, Woo Seok
2010
518 22 p. 6578-6582
5 p.
artikel
9 Bi2O3/TiO2 coaxial nanorods: Synthesis, characterization and photoluminescence properties Hong, C.
2010
518 22 p. 6638-6641
4 p.
artikel
10 Characteristics of bottom-gate low temperature nanocrystalline silicon thin film transistor fabricated by hydrogen annealing of gate dielectric layer Lee, Youn-Jin
2010
518 22 p. 6311-6314
4 p.
artikel
11 Characteristics of gravure printed InGaZnO thin films as an active channel layer in thin film transistors Choi, Yuri
2010
518 22 p. 6249-6252
4 p.
artikel
12 Characteristics of indium zinc oxide films deposited using the facing targets sputtering method for OLEDs applications Rim, Y.S.
2010
518 22 p. 6223-6227
5 p.
artikel
13 Characteristics of organic–inorganic hybrid plasma polymer thin films for low-κ ILD applications Cho, Sang-Jin
2010
518 22 p. 6417-6421
5 p.
artikel
14 Characteristics of SiO x thin films deposited by atmospheric pressure chemical vapor deposition as a function of HMDS/O2 flow rate Gil, Elly
2010
518 22 p. 6403-6407
5 p.
artikel
15 Characterization of silicon oxide gas barrier films with controlling to the ion current density (ion flux) by plasma enhanced chemical vapor deposition Jin, Su B.
2010
518 22 p. 6385-6389
5 p.
artikel
16 Characterization of sprayed CuInS2 films by XRD and Raman spectroscopy measurements Lee, Dong-Yeup
2010
518 22 p. 6537-6541
5 p.
artikel
17 Comparison of dry etching of PMMA and polycarbonate in diffusion pump-based O2 capacitively coupled plasma and inductively coupled plasma Park, J.H.
2010
518 22 p. 6465-6468
4 p.
artikel
18 Competitive growth mechanisms of InAs quantum dots on In x Ga1 − x As layer during post growth interruption Yang, Changjae
2010
518 22 p. 6361-6364
4 p.
artikel
19 Contact resistance variation in top-contact organic thin-film transistors with the deposition rate of Au source/drain electrodes Park, Jaehoon
2010
518 22 p. 6232-6235
4 p.
artikel
20 Contents 2010
518 22 p. iii-viii
nvt p.
artikel
21 Conversion of green emission into white light in Gd2O3 nanophosphors Jayasimhadri, M.
2010
518 22 p. 6210-6213
4 p.
artikel
22 Crystallization behavior and thermoelectric characteristics of the electrodeposited Sb2Te3 thin films Kim, Min-Young
2010
518 22 p. 6550-6553
4 p.
artikel
23 Crystallization behavior caused by N doping in Ge1Sb4Te7 for PCRAM application Kim, Hyung Keun
2010
518 22 p. 6422-6428
7 p.
artikel
24 Crystallization of amorphous Si thin films by the reaction of MoO3/Al nanoengineered thermite Bae, Jung Hyeon
2010
518 22 p. 6205-6209
5 p.
artikel
25 Decomposition of poly(amide–imide) film using atmospheric pressure non-equilibrium plasma generated in a stream of H2O/Ar mixed gases Ueshima, M.
2010
518 22 p. 6667-6670
4 p.
artikel
26 Deep level transient spectroscopy on charge traps in high-k ZrO2 Li, Hua-Min
2010
518 22 p. 6382-6384
3 p.
artikel
27 Deposition damage evaluation of fluorine doped silicon oxide using simple damage monitoring system Kim, Dong-Hwan
2010
518 22 p. 6482-6487
6 p.
artikel
28 Deposition of the ZnO transparent electrodes at atmospheric pressure using a DC Arc Plasmatron Penkov, Oleksiy V.
2010
518 22 p. 6160-6162
3 p.
artikel
29 Design evaluation of the semi-prototype for the ITER blanket first wall qualification Lee, Dong Won
2010
518 22 p. 6676-6681
6 p.
artikel
30 Detection of carcinoembryonic antigen using AlN FBAR Lee, Tae Yong
2010
518 22 p. 6630-6633
4 p.
artikel
31 Development and characterization of toroidal magnetron sputtering system for thin films deposition Kim, Young-Woo
2010
518 22 p. 6650-6653
4 p.
artikel
32 Development of a hybrid inverter through integration of organic and inorganic thin film transistors Park, Jung-Ho
2010
518 22 p. 6264-6267
4 p.
artikel
33 Development of thickness measurement program for transparent conducting oxide thin films Mitsugi, Fumiaki
2010
518 22 p. 6330-6333
4 p.
artikel
34 Different shape of GaAs quantum structures under various growth conditions Kim, Jaesu
2010
518 22 p. 6500-6504
5 p.
artikel
35 Dry etching process of GaAs in capacitively coupled BCl3-based plasmas Lee, J.W.
2010
518 22 p. 6488-6491
4 p.
artikel
36 Dye sensitized solar cell of TiO2 nanoparticle/nanorod composites prepared via low-temperature synthesis in oleic acid Saji, Viswanathan S.
2010
518 22 p. 6542-6546
5 p.
artikel
37 Eco-friendly synthesis of SiO2 nanoparticles with high purity for digital printing Hong, Sung-Jei
2010
518 22 p. 6634-6637
4 p.
artikel
38 Effect of carrier concentration on optical bandgap shift in ZnO:Ga thin films Kim, Chang Eun
2010
518 22 p. 6304-6307
4 p.
artikel
39 Effect of evaporated copper and aluminum on post-annealed SiOC(–H) films deposited using plasma-enhanced chemical vapor deposition Kim, Chang Young
2010
518 22 p. 6469-6473
5 p.
artikel
40 Effect of high-energy electron beam irradiation on the properties of AZO thin films prepared by rf magnetron sputtering Yun, Eui-Jung
2010
518 22 p. 6236-6240
5 p.
artikel
41 Effect of oxidation on Li-ion secondary battery with non-stoichiometric silicon oxide (SiO x ) nanoparticles generated in cold plasma Kim, Kwangsu
2010
518 22 p. 6547-6549
3 p.
artikel
42 Effect of oxygen flow rate on ITO thin films deposited by facing targets sputtering Kim, Youn J.
2010
518 22 p. 6241-6244
4 p.
artikel
43 Effect of process conditions on dynamics and performance of PEMFC: Comparison with experiments Park, Hye Yeon
2010
518 22 p. 6505-6509
5 p.
artikel
44 Effect of SF6 incorporation in the cyclic process on the low temperature deposition of carbon nanofilaments Kim, Kwang-Duk
2010
518 22 p. 6412-6416
5 p.
artikel
45 Effect of thermal annealing on Ni/Au contact to a-InGaZnO films deposited by dc sputtering Kim, Hyunghoon
2010
518 22 p. 6348-6351
4 p.
artikel
46 Effects of self-erasing discharge on panel-aging characteristics in AC plasma display panel Park, Choon-Sang
2010
518 22 p. 6153-6159
7 p.
artikel
47 Effects of shroud gas injection on material properties of tungsten layers coated by plasma spraying Kim, Sungwoo
2010
518 22 p. 6369-6372
4 p.
artikel
48 Efficient orange-red organic light-emitting diodes using 9,10-bis[4-(di-4-tert-butylphenylamino)styryl] anthracene as a fluorescent orange-red emitter Seo, Bo Min
2010
518 22 p. 6214-6218
5 p.
artikel
49 Efficient triplet exciton confinement of white organic light-emitting diodes using a heavily doped phosphorescent blue emitter Lee, Seok Jae
2010
518 22 p. 6184-6187
4 p.
artikel
50 Electrochemical characterization of a Ge-based composite film fabricated as an anode material using magnetron sputtering for lithium ion batteries Hwang, Chang-Mook
2010
518 22 p. 6590-6597
8 p.
artikel
51 Electrochemical properties of TiNCrN-coated bipolar plates in polymer electrolyte membrane fuel cell environment Nam, N.D.
2010
518 22 p. 6598-6603
6 p.
artikel
52 Electron injection mechanisms of green organic light-emitting devices fabricated utilizing a double electron injection layer consisting of cesium carbonate and fullerene Yang, J.S.
2010
518 22 p. 6149-6152
4 p.
artikel
53 Electrostatic probe measurement of low-pressure electronegative SF6 discharges Joh, H.M.
2010
518 22 p. 6686-6689
4 p.
artikel
54 Enhancement of operation efficiency of grid interconnected photovoltaic systems Lee, Jaehee
2010
518 22 p. 6564-6566
3 p.
artikel
55 Excellent heat resistance polymeric gate insulator for thin-film transistor by low temperature and solution processing Kim, Ji Young
2010
518 22 p. 6280-6284
5 p.
artikel
56 Fabrication of free-standing carbon nanotube electrode arrays on a quartz wafer Chang, W.S.
2010
518 22 p. 6624-6629
6 p.
artikel
57 Fabrication of scandium stabilized zirconia thin film by electrostatic spray deposition technique for solid oxide fuel cell electrolyte Ksapabutr, Bussarin
2010
518 22 p. 6518-6521
4 p.
artikel
58 First-principles study on the formation of a vacancy in Ge under biaxial compressive strain Choi, Jung-Hae
2010
518 22 p. 6373-6377
5 p.
artikel
59 Flexible organic thin-film transistors using single-walled carbon nanotubes as an activated channel Kwon, Jae-Hong
2010
518 22 p. 6168-6173
6 p.
artikel
60 Frequency and temperature dependence of dielectric and electrical properties of radio-frequency sputtered lead-free K0.48Na0.52NbO3 thin films Kim, Jin Soo
2010
518 22 p. 6390-6393
4 p.
artikel
61 Growth of Cu2ZnSnS4 thin films using sulfurization of stacked metallic films Yoo, Hyesun
2010
518 22 p. 6567-6572
6 p.
artikel
62 Hybrid p-type ZnO film and n-type ZnO nanorod p–n homo-junction for efficient photovoltaic applications Lee, Jong Hyun
2010
518 22 p. 6587-6589
3 p.
artikel
63 Impact of radio frequency source power-induced ion energy on a refractive index of SiN film deposited by a pulsed-PECVD at room temperature Kim, Suyeon
2010
518 22 p. 6554-6557
4 p.
artikel
64 Improvement in etch selectivity of SiO2 to CVD amorphous carbon mask in dual-frequency capacitively coupled C4F8/CH2F2/O2/Ar plasmas Kwon, B.S.
2010
518 22 p. 6451-6454
4 p.
artikel
65 Inkjet printing of conductive Ag lines and their electrical and mechanical characterization Lee, Dong Jun
2010
518 22 p. 6352-6356
5 p.
artikel
66 Interdigitated electrode geometry effects on the performance of organic photoconductors for optical sensor applications Jeong, Jin Wook
2010
518 22 p. 6343-6347
5 p.
artikel
67 Investigation of SiO x C y film as the encapsulation layer for full transparent OLED using hollow cathode discharge plasma at room temperature Liu, Kou-Chen
2010
518 22 p. 6195-6198
4 p.
artikel
68 Light-emitting characteristics of organic light-emitting diodes with the MoO x -doped NPB and C60/LiF layer Kwon, Jae Wook
2010
518 22 p. 6339-6342
4 p.
artikel
69 Lithium research as a plasma facing component material at the University of Illinois Surla, V.
2010
518 22 p. 6663-6666
4 p.
artikel
70 Low voltage ZnO thin-film transistors with Ti-substituted BZN gate insulator for flexible electronics Cho, Kwang-Hwan
2010
518 22 p. 6277-6279
3 p.
artikel
71 Luminescence mechanisms of organic/inorganic hybrid organic light-emitting devices fabricated utilizing a Zn2SiO4:Mn color-conversion layer Choo, D.C.
2010
518 22 p. 6308-6310
3 p.
artikel
72 Luminescence properties of Nd3+-doped (Y,Gd)BO3 phosphors Yi, Soung Soo
2010
518 22 p. 6163-6167
5 p.
artikel
73 Metal silicide-templated growth of quality Si films for Schottky-diodes Kim, Joondong
2010
518 22 p. 6510-6513
4 p.
artikel
74 Metal surface oxidation by using dielectric barrier discharge Kim, Hyun-Su
2010
518 22 p. 6394-6398
5 p.
artikel
75 MOCVD growth of GaN layer on InN interlayer and relaxation of residual strain Lee, Keon-Hun
2010
518 22 p. 6365-6368
4 p.
artikel
76 NIR to VIS frequency upconversion luminescence properties of Er3+-doped YPO4 phosphors Balakrishnaiah, R.
2010
518 22 p. 6145-6148
4 p.
artikel
77 On monitoring of gas leak in the plasma vacuum process with optical emission spectroscopy Pyun, S.C.
2010
518 22 p. 6658-6662
5 p.
artikel
78 O2 plasma patterning of p-type MWCNT and its application to immunosensor Lee, Jun-Yong
2010
518 22 p. 6646-6649
4 p.
artikel
79 Optical and electrical properties of ZnO films, codoped with Al and Ga deposited at room temperature by an RF sputtering method Kim, Jong-Pil
2010
518 22 p. 6179-6183
5 p.
artikel
80 Optical properties of bismuth niobate thin films studied by spectroscopic ellipsometry Kang, Y.J.
2010
518 22 p. 6526-6530
5 p.
artikel
81 Optical properties of the InAs/InAlGaAs quantum dots subjected to thermal treatments Jo, Byounggu
2010
518 22 p. 6429-6431
3 p.
artikel
82 Parametric study on synthesis of crystalline silicon nanoparticles in capacitively-coupled silane plasmas Chai, Kil-Byoung
2010
518 22 p. 6614-6618
5 p.
artikel
83 Photoluminescence characteristics of Li-doped CaTiO3:Pr3+ thin films grown on Si (100) substrate by PLD Yang, Hyun Kyoung
2010
518 22 p. 6219-6222
4 p.
artikel
84 Plasma potential measurement in 2.45GHz electron cyclotron resonance plasma with a magnetron magnetic field configuration Kim, Seong Bong
2010
518 22 p. 6690-6693
4 p.
artikel
85 Polymer binder effects on the electrical characteristics of 6, 13-bis(triisopropylsilylethynyl)-pentacene thin-film transistors in different solvents Chung, Myung-Ho
2010
518 22 p. 6289-6294
6 p.
artikel
86 Power dissipation and mode transition in an RF discharge with multi-hollow cathode electrode Lee, Yun-Seong
2010
518 22 p. 6682-6685
4 p.
artikel
87 Preparation, dielectric and ferroelectric properties of Pb5Ge3O11 and Pb5Ge2.85Si0.15O11 thin films fabricated by sol–gel process Chung, Chang Hee
2010
518 22 p. 6399-6402
4 p.
artikel
88 Properties of La-substituted Na0.5Bi4.5Ti4O15 ferroelectric thin films Do, Dalhyun
2010
518 22 p. 6478-6481
4 p.
artikel
89 Pulsed Nd:YAG laser heating and treatment on multi-walled carbon nanotubes film Nakamiya, Toshiyuki
2010
518 22 p. 6604-6608
5 p.
artikel
90 Reactive particle beam based deposition process of nano-crystalline silicon thin film at low temperature for the flexible AM-OLED backplane Song, Byoung-Cheol
2010
518 22 p. 6299-6303
5 p.
artikel
91 Red-phosphorescent OLEDs employing iridium (III) complexes based on 5-benzoyl-2-phenylpyridine derivatives Lee, Kum Hee
2010
518 22 p. 6188-6194
7 p.
artikel
92 Reproducible unipolar resistive switching behaviors in the metal-deficient CoO x thin film Kwak, June Sik
2010
518 22 p. 6437-6440
4 p.
artikel
93 Self-consistent calculation of shielding in the development of a fusion reactor concept Hong, B.G.
2010
518 22 p. 6671-6675
5 p.
artikel
94 Spatial and temporal evolution of plasma discharge in a 42-inch shadow mask plasma display panel Yang, Lanlan
2010
518 22 p. 6268-6276
9 p.
artikel
95 Strain evolution in heteroepitaxial ZnO/sapphire(0001) thin films grown by radio frequency magnetron sputtering Seo, S.H.
2010
518 22 p. 6446-6450
5 p.
artikel
96 Strong ligand field effects of blue phosphorescent mono-cyclometalated iridium(III) complexes Ham, Ho Wan
2010
518 22 p. 6199-6204
6 p.
artikel
97 Structural, electrical and gas sensing properties of eletrospun TiO2 nanofibers Park, Jin-Ah
2010
518 22 p. 6642-6645
4 p.
artikel
98 Structural, optical, and electrical properties of AZO films by tilted angle sputtering method Leem, J.W.
2010
518 22 p. 6285-6288
4 p.
artikel
99 Study of Cu diffusion behavior in low dielectric constant SiOC(–H) films deposited by plasma-enhanced chemical vapor deposition Choi, Chi Kyu
2010
518 22 p. 6474-6477
4 p.
artikel
100 Superconformal copper filling of a nano-scale trench by nucleation suppression at the trench entrance during metal organic chemical vapor deposition Moon, H.K.
2010
518 22 p. 6455-6459
5 p.
artikel
101 Surface characteristics of parylene-C films in an inductively coupled O2/CF4 gas plasma Ham, Yong-Hyun
2010
518 22 p. 6378-6381
4 p.
artikel
102 Surface free energy and super-hydrophobic coating of multi-walled carbon nanotubes by 3:1 TMCS/toluene glow discharge plasma under low pressure Cho, Soon-Gook
2010
518 22 p. 6619-6623
5 p.
artikel
103 Surface properties of etched ITO thin films using high density plasma Wi, Jae-Hyung
2010
518 22 p. 6228-6231
4 p.
artikel
104 Surface texturing of GaAs using a nanosphere lithography technique for solar cell applications Kim, B.
2010
518 22 p. 6583-6586
4 p.
artikel
105 Surface treatment comparison using atmospheric helium plasma jets with different frequencies and target objects Kim, Dan Bee
2010
518 22 p. 6700-6703
4 p.
artikel
106 Synthesis and characterization of self-assembled ZnO nano-dots grown on SiN x /Si(001) substrates by radio frequency magnetron sputtering Sun, J.H.
2010
518 22 p. 6522-6525
4 p.
artikel
107 Synthesis and electroluminescent properties of blue-emitting t-butylated bis(diarylaminoaryl)anthracenes for OLEDs Lee, Kum Hee
2010
518 22 p. 6253-6258
6 p.
artikel
108 Synthesis of c-axis preferred orientation ZnO:Al transparent conductive thin films using a novel solvent method Tseng, Yung-Kuan
2010
518 22 p. 6259-6263
5 p.
artikel
109 The effect of annealing on amorphous indium gallium zinc oxide thin film transistors Bae, Hyeon-seok
2010
518 22 p. 6325-6329
5 p.
artikel
110 The effects of hump electrode on the long gap plasma display panel with high Xe concentration ratio Ok, Jung-Woo
2010
518 22 p. 6174-6178
5 p.
artikel
111 The effects of low temperature buffer layer on the growth of pure Ge on Si(001) Shin, Keun Wook
2010
518 22 p. 6496-6499
4 p.
artikel
112 The etching characteristics of Al2O3 thin films in an inductively coupled plasma Xue-Yang,
2010
518 22 p. 6441-6445
5 p.
artikel
113 Theoretical study of indoline dyes for dye-sensitized solar cells Ham, Ho Wan
2010
518 22 p. 6558-6563
6 p.
artikel
114 The proceedings of the 2nd International Conference on Microelectronics and Plasma Technology – ICMAP 2009 Lee, N.-E.
2010
518 22 p. 6143-6144
2 p.
artikel
115 The properties of low energy neutral particles in a neutral beam source: A molecular dynamics study Park, Seung-hoon
2010
518 22 p. 6408-6411
4 p.
artikel
116 The synthesis and characteristics of polymer nanoballs by plasma polymerization cooperating with DC plasma sputtering technique Jiang, Zhongqing
2010
518 22 p. 6609-6613
5 p.
artikel
117 Thickness dependence of high-k materials on the characteristics of MAHONOS structured charge trap flash memory You, Hee-Wook
2010
518 22 p. 6460-6464
5 p.
artikel
118 Thickness limit of BaTiO3 thin film capacitors grown on SUS substrates using aerosol deposition method Oh, Jong-Min
2010
518 22 p. 6531-6536
6 p.
artikel
119 Time evolution of electronegativity in a pulsed inductively coupled oxygen plasma Lee, Jeong-Beom
2010
518 22 p. 6573-6577
5 p.
artikel
120 Uniformity of gallium doped zinc oxide thin film prepared by pulsed laser deposition Mitsugi, Fumiaki
2010
518 22 p. 6334-6338
5 p.
artikel
121 UV-enhanced atomic layer deposition of ZrO2 thin films at room temperature Lee, Byoung H.
2010
518 22 p. 6432-6436
5 p.
artikel
122 V-doping effects on ferroelectric properties of K0.5Bi4.5Ti4O15 thin films Kim, Jin Won
2010
518 22 p. 6514-6517
4 p.
artikel
123 X-Ray photoelectron spectroscopy analysis of plasma–polymer interactions for development of low-damage plasma processing of soft materials Setsuhara, Yuichi
2010
518 22 p. 6492-6495
4 p.
artikel
                             123 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland