Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             94 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Aggregation of interstitial copper atoms in silicon Lowther, J.E.
2010
1 p. 29-33
5 p.
artikel
2 Al speed fill Beyer, Gerald P
1999
1 p. 75-85
11 p.
artikel
3 Analysis of LPCVD process conditions for the deposition of low stress silicon nitride. Part I: preliminary LPCVD experiments Olson, James M
2002
1 p. 51-60
10 p.
artikel
4 A novel 4H-SiC MESFET with a L-gate and a partial p-type spacer Jia, Hujun
2012
1 p. 2-5
4 p.
artikel
5 A study of the role of HBr and oxygen on the etch selectivity and the post-etch profile in a polysilicon/oxide etch using HBr/O2 based high density plasma for advanced DRAMs Kim, Deok-kee
2007
1 p. 41-48
8 p.
artikel
6 Atomic transport properties and electrical activation of ultra-low energy implanted boron in crystalline silicon Privitera, V
1999
1 p. 35-44
10 p.
artikel
7 Bias voltage controlled photoluminescence from β-In2S3 thin films Jayakrishnan, R.
2011
1 p. 58-61
4 p.
artikel
8 Blue emission of ZnO nanoporous arrays membrane prepared by novel catalysis-free vertical pulsed-laser ablation Niu, Haijun
2011
1 p. 37-42
6 p.
artikel
9 Carrier lifetime limitation defects in polycrystalline silicon ribbons grown on substrate (RGS) Lu, Jinggang
2008
1 p. 20-24
5 p.
artikel
10 Characteristics of CdS films brush electrodeposited on low-temperature substrates Murali, K.R.
2007
1 p. 56-60
5 p.
artikel
11 Characterization of molecular beam epitaxy grown GaS film for GaAs surface passivation Okamoto, Naoya
1999
1 p. 13-18
6 p.
artikel
12 Characterization of TiAl alloy films for potential application in MEMS bimorph actuators Qu, X.X
2002
1 p. 35-38
4 p.
artikel
13 Cluster ion beam processing Yamada, Isao
1998
1 p. 27-41
15 p.
artikel
14 Colour sensor for (bio)chemical/biological discrimination and detection Poenar, Daniel Puiu
2002
1 p. 17-22
6 p.
artikel
15 Constant current stress of lightly Al-doped Ta2O5 Atanassova, E.
2012
1 p. 98-107
10 p.
artikel
16 Damage, defects and diffusion from ultra-low energy (0–5 keV) ion implantation of silicon Agarwal, Aditya
1998
1 p. 17-25
9 p.
artikel
17 Dependence of characteristic diode parameters on sample temperature in Ni/epitaxy n-Si contacts Ejderha, K.
2011
1 p. 5-12
8 p.
artikel
18 Detection of H2S, SO2, and NO2 using electrostatic sprayed tungsten oxide films Matei Ghimbeu, Camelia
2010
1 p. 1-8
8 p.
artikel
19 Direct thermal decomposition synthesis and characterization of hematite (α-Fe2O3) nanoparticles Darezereshki, Esmaeel
2012
1 p. 91-97
7 p.
artikel
20 Editorial Hepp, Aloysius F.
2012
1 p. 1-
1 p.
artikel
21 Effect of deposition power on structural and electrical properties of Al-doped ZnO films using pulsed direct-current magnetron sputtering with single cylindrical target Shin, Beom-Ki
2011
1 p. 23-27
5 p.
artikel
22 Effect of extended phosphorus diffusion gettering on chromium impurity in HEM multicrystalline silicon Khelifati, Nabil
2012
1 p. 56-60
5 p.
artikel
23 Effect of hydrogen peroxide treatment on the electrical characteristics of Au/ZnO epitaxial Schottky diode Singh, C.S.
2011
1 p. 1-4
4 p.
artikel
24 Effect of KOH treatment on the Schottky barrier inhomogeneity in Ni/n-GaN Kim, Hogyoung
2010
1 p. 51-55
5 p.
artikel
25 Effects of post-oxidation annealing temperature on ZrO2 thin film deposited on 4H-SiC substrate Kurniawan, Tedi
2011
1 p. 13-17
5 p.
artikel
26 Effects of surfactants on microstructure and photocatalytic activity of TiO2 nanoparticles prepared by the hydrothermal method Chen, Ke
2012
1 p. 20-26
7 p.
artikel
27 Effects of the post nitridation anneal temperature on performances of the nano MOSFET with ultra-thin (<2.5nm) plasma nitrided gate dielectric Chiu, H.Y.
2012
1 p. 27-31
5 p.
artikel
28 Electrical and thermal stability of Ag ohmic contacts for GaN-based flip-chip light-emitting diodes by using an AgAl alloy capping layer Hwang, Yoon Tae
2007
1 p. 14-18
5 p.
artikel
29 Electroless copper deposition solution induced chemical changes in low-k fluorinated dielectrics Hsu, D.T.
1999
1 p. 19-22
4 p.
artikel
30 Enhanced electrochromic performance of nanoporous NiO films Purushothaman, K.K.
2011
1 p. 78-83
6 p.
artikel
31 Enhancement and stability of luminescence in thin-film light-emitting devices based on heterostructure of ladder-type poly (p-phenylene) Wang, S.X.
2002
1 p. 27-30
4 p.
artikel
32 Facile synthesis of monodispersed nanocrystalline anatase TiO2 particles with large surface area and enhanced photocatalytic activity for degradation of organic contaminant in wastewaters Jiang, Liming
2012
1 p. 108-111
4 p.
artikel
33 Frequency and voltage dependence of negative capacitance in Au/SiO2/n-GaAs structures Gökçen, M.
2012
1 p. 41-46
6 p.
artikel
34 GaN-based LEDs with Ar plasma treatment Kuo, D.S.
2012
1 p. 52-55
4 p.
artikel
35 Growth of ITO thin films on polyimide substrate by bias sputtering Nisha, M.
2010
1 p. 64-69
6 p.
artikel
36 Hall and photoluminescence studies of effects of the thickness of an additional In0.3Ga0.7As layer in the center of In0.15Ga0.85As/Al0.25Ga0.75As/GaAs high electron mobility transistors Zhao, Feng
2002
1 p. 23-26
4 p.
artikel
37 High-resolution transmission electron microscopy study on bipolar resistive switching behavior in TiO2 thin films Li, Ying
2012
1 p. 37-40
4 p.
artikel
38 IFC-ED board 2002
1 p. IFC-
1 p.
artikel
39 Improvement of performance of dye-sensitized solar cells by doping Er2O3 into TiO2 electrodes Li, Feitao
2012
1 p. 11-14
4 p.
artikel
40 Improvement of photon extraction efficiency of InGaN LEDs utilizing textured ZnO layer deposited by electrospray deposition Chao, Liang-Chiun
2008
1 p. 13-15
3 p.
artikel
41 Incidence angle distributions of ions bombarding grounded surfaces in high density plasma reactors Aydil, E.S
1998
1 p. 75-82
8 p.
artikel
42 Inductively coupled plasma etching of III–V semiconductors in Cl2-based chemistries Lee, J.W
1998
1 p. 65-73
9 p.
artikel
43 Inductively coupled plasma reactive ion etching of sapphire using C2F6- and NF3-based gas mixtures Kang, Dong-Jin
2008
1 p. 16-19
4 p.
artikel
44 Influence of grain size on the properties of AlN doped ZnO thin film Bhuvana, K.P.
2011
1 p. 84-88
5 p.
artikel
45 Influence of Mn doping on the microstructure and optical property of ZnO Senthilkumaar, S.
2008
1 p. 6-12
7 p.
artikel
46 Interaction of ion-implantation-induced interstitials in B-doped SiGe Crosby, R.T.
2007
1 p. 1-5
5 p.
artikel
47 Interstitial diffusion under conditions of trapping of interstitial impurity atoms Velichko, O.I.
2010
1 p. 13-20
8 p.
artikel
48 Investigation of the antibacterial and photocatalytic properties of the zeolitic nanosized AgBr/TiO2 composites Padervand, Mohsen
2012
1 p. 73-79
7 p.
artikel
49 Investigations on the structural and optical properties of Li, N and (Li, N) co-doped ZnO thin films prepared by sol–gel technique Ravichandran, C.
2010
1 p. 46-50
5 p.
artikel
50 Journal select April 19, 2002 2002
1 p. I-
1 p.
artikel
51 Magneto-optical characteristics of Mn-doped ZnO films deposited by ultrasonic spray pyrolysis Chen, Lung-Chien
2012
1 p. 80-85
6 p.
artikel
52 Memory characteristics of Al2O3/La2O3/Al2O3 multi-layer films with various blocking and tunnel oxide thicknesses Kim, Hyo June
2010
1 p. 9-12
4 p.
artikel
53 Microstructural and electrical property evolution in an acceptor-dopant free positive temperature coefficient thermistor Leach, C.
2012
1 p. 47-51
5 p.
artikel
54 Morphological and size effects of NiO nanoparticles via solvothermal process and their optical properties Anandan, K.
2011
1 p. 43-47
5 p.
artikel
55 Nanotechnology copper interconnect processes integrations for high aspect ratio without middle etching stop layer Weng, Chun-Jen
2010
1 p. 56-63
8 p.
artikel
56 Neural network modeling of PECVD silicon nitride films Ghosh, S.
1999
1 p. 1-11
11 p.
artikel
57 New two-dimensional dopant delineation techniques for sub-micron device characterization Spinella, Corrado
1998
1 p. 55-64
10 p.
artikel
58 Optical and electrical properties of ZnO:Al thin films synthesized by low-pressure pulsed laser deposition Gu, X.Q.
2011
1 p. 48-51
4 p.
artikel
59 Optoelectronic properties of CdTe/Si heterojunction prepared by pulsed Nd:YAG-laser deposition technique Ismail, Raid A.
2007
1 p. 19-23
5 p.
artikel
60 Oxidation enhanced diffusion during the growth of ultrathin oxides Stolk, P.A
1999
1 p. 29-33
5 p.
artikel
61 Oxygen precipitate precursors and low temperature gettering processes. II. DLTS analysis of deep levels associated to oxide precipitates Yakimov, E.
1999
1 p. 69-74
6 p.
artikel
62 Oxygen precipitate precursors and low temperature gettering processes. I. Segregation of oxygen and thermal donor generation in the 600–850°C range Cadeo, S
1999
1 p. 57-68
12 p.
artikel
63 Patent report 1999
1 p. 95-101
7 p.
artikel
64 Phase separation in Zn-doped InGaN grown by metalorganic chemical vapor deposition Feng, Z.C
2002
1 p. 39-43
5 p.
artikel
65 Photoconduction properties of some monomeric phthalocyanines and dibromoanthrone Miles, Janet R.
2012
1 p. 61-72
12 p.
artikel
66 Polarity dependent structure and optical properties of freestanding GaN layers grown by hydride vapor phase epitaxy Hu, Qiang
2012
1 p. 15-19
5 p.
artikel
67 Post-annealing modification in structural properties of ZnO thin films on p-type Si substrate deposited by evaporation Asghar, M.
2008
1 p. 30-35
6 p.
artikel
68 Post-deposition annealing effect on In2S3 thin films deposited using SILAR technique Ranjith, R.
2007
1 p. 49-55
7 p.
artikel
69 Preparation, characterization and photoactivity of hollow N, Co co-doped TiO2/SiO2 microspheres Zhang, Ling
2011
1 p. 52-57
6 p.
artikel
70 Preparation, structural characterization, semiconductor and photoluminescent properties of zinc oxide nanoparticles in a phosphonium-based ionic liquid Goharshadi, Elaheh K.
2011
1 p. 69-72
4 p.
artikel
71 Properties of CdTe films brush plated on high temperature substrates Murali, K.R.
2007
1 p. 36-40
5 p.
artikel
72 Residual free reactive ion etching of the Bell contact Ti/Pt/Au Franz, Gerhard
2002
1 p. 45-50
6 p.
artikel
73 Review of low-voltage CMOS LSI technology as a standard in the 21st century Mutoh, Shin'ichiro
1998
1 p. 5-16
12 p.
artikel
74 Semiconductor parameter extraction using cathodoluminescence and genetic algorithms Soualmia, S.
2011
1 p. 62-68
7 p.
artikel
75 Simulation of selective tungsten chemical vapour deposition Kuijlaars, K.J.
1998
1 p. 43-54
12 p.
artikel
76 Sol–gel deposited SiO2 and hybrid low dielectric constant thin films Joshi, Bhavana N.
2010
1 p. 41-45
5 p.
artikel
77 Strain effect on magnetoresistance of SiGe solid solution whiskers at low temperatures Druzhinin, A.A.
2011
1 p. 18-22
5 p.
artikel
78 Structural and electronic properties calculations of Be x Zn1− x Se alloy Ameri, M.
2007
1 p. 6-13
8 p.
artikel
79 Structural, magnetic and electrical properties of Fe/Si system Lal, Chhagan
2008
1 p. 1-5
5 p.
artikel
80 Structure of ZnO films prepared by oxidation of metallic Zinc Gupta, Rohit Kumar
2002
1 p. 11-15
5 p.
artikel
81 Study of oriented growth of oligofluorene–thiophene films onto aligned vacuum-deposited polytetrafluoroethylene layers Prelipceanu, Marius
2007
1 p. 24-35
12 p.
artikel
82 Suppression of oxidation-induced stacking fault generation in argon ambient annealing with controlled oxygen and the effect upon bulk defects Suzuki, Toshiharu
2002
1 p. 5-10
6 p.
artikel
83 Surfactant free hydrothermally derived ZnO nanowires, nanorods, microrods and their characterization Nagaraju, G.
2010
1 p. 21-28
8 p.
artikel
84 Temperature dependent lasing characteristics of InAs/InP(100) quantum dot laser Li, S.G.
2012
1 p. 86-90
5 p.
artikel
85 The development of Ti silicide on poly gate structures with oxidized sidewall and application in a novel RF LDMOSFET Qu, X.X
2002
1 p. 1-4
4 p.
artikel
86 The effect of Al3+ co-doping on the structural, magnetic and optical properties of ZnCoO thin films Cao, P.
2011
1 p. 73-77
5 p.
artikel
87 The effect of deposition conditions on structure properties of radio frequency reactive sputtered polycrystalline ZnO films Gong, Hengxiang
2002
1 p. 31-34
4 p.
artikel
88 The effects of surface states and series resistance on the performance of Au/SnO2/n-Si and Al/SnO2/p-Si (MIS) Schottky barrier diodes Yıldız, D.E.
2010
1 p. 34-40
7 p.
artikel
89 The fabrication and electrical characteristics of ZnO twinned nanowires Cao, L.
2008
1 p. 25-29
5 p.
artikel
90 The influence of thickness and ammonia flow rate on the properties of AlN layers Çörekçi, S.
2012
1 p. 32-36
5 p.
artikel
91 Thermal conductivity of AlN thin films deposited by RF magnetron sputtering Park, Min-Ho
2012
1 p. 6-10
5 p.
artikel
92 Transparent semiconducting ZnO:Al thin films prepared by spray pyrolysis Seeber, W.T
1999
1 p. 45-55
11 p.
artikel
93 UV–ozone precleaning and forming gas annealing applied to wet thermal oxidation of p-type silicon carbide Zetterling, Carl-Mikael
1999
1 p. 23-27
5 p.
artikel
94 ZnS thin film and Zn/ZnS/n-Si/Au-Sb sandwich structure grown with SILAR method and defining the characteristic parameters Ateş, Aytunç
2011
1 p. 28-36
9 p.
artikel
                             94 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland