Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             119 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Accurate electron mobility extraction in nMOSFETs by RF split CV Hyvert, G.
2009
7-9 p. 1665-1667
3 p.
artikel
2 A first-principles study of the structural and electronic properties of III–V/thermal oxide interfaces Scarrozza, M.
2009
7-9 p. 1747-1750
4 p.
artikel
3 Amorphous ternary rare-earth gate oxides for future integration in MOSFETs Lopes, J.M.J.
2009
7-9 p. 1646-1649
4 p.
artikel
4 Analysis of copper ion filaments and retention of dual-layered devices for resistance random access memory applications Yoon, Jaesik
2009
7-9 p. 1929-1932
4 p.
artikel
5 A nanoanalytical investigation of the Ga2O3/GaGdO dielectric gate stack for InGaAs based MOSFET devices Longo, P.
2009
7-9 p. 1568-1570
3 p.
artikel
6 A novel SONOS-type flash device with stacked charge trapping layer Ye, Zong-Hao
2009
7-9 p. 1863-1865
3 p.
artikel
7 Application of non-linear optical second harmonic generation and X-ray absorption and spectroscopies to defect related properties of Hf silicate and Hf Si oxynitride gate dielectrics Gundogdu, K.
2009
7-9 p. 1654-1657
4 p.
artikel
8 A study on fluorine incorporation in Ge p-MOS capacitors with HfTiON dielectric Li, C.X.
2009
7-9 p. 1596-1598
3 p.
artikel
9 Atomic layer deposition of Ge2Sb2Te5 thin films Ritala, Mikko
2009
7-9 p. 1946-1949
4 p.
artikel
10 Atomic mechanism of flat-band voltage shifts at La2O3, Al2O3 and Nb2O5 capping layers Lin, L.
2009
7-9 p. 1743-1746
4 p.
artikel
11 Atomic-scale theory on current-assisted thermochemical degradation mode and its field acceleration via charge trapping of O vacancy in HfSiO4 Nakasaki, Yasushi
2009
7-9 p. 1901-1904
4 p.
artikel
12 Atomistic model structure of the Ge(100)–GeO2 interface Broqvist, Peter
2009
7-9 p. 1589-1591
3 p.
artikel
13 Atomistic origin of high-quality “novel SiON gate dielectrics” Yamaguchi, Keita
2009
7-9 p. 1680-1682
3 p.
artikel
14 Author Index 2009
7-9 p. I-XIV
nvt p.
artikel
15 Band offsets at interfaces of (100)In x Ga1− x As (0⩽ x ⩽0.53) with Al2O3 and HfO2 Afanas’ev, V.V.
2009
7-9 p. 1550-1553
4 p.
artikel
16 Challenges of integration of high-κ dielectric with III–V materials (Invited Paper) Tsai, W.
2009
7-9 p. 1540-1543
4 p.
artikel
17 Channel hot-carrier degradation under AC stress in short channel nMOS devices with high-k gate stacks Amat, E.
2009
7-9 p. 1908-1910
3 p.
artikel
18 Characterization of interfacial reaction and chemical bonding features of LaO x /HfO2 stack structure formed on thermally-grown SiO2/Si(100) Ohta, Akio
2009
7-9 p. 1650-1653
4 p.
artikel
19 Characterization of TiO x N y nanoparticles embedded in HfO x N y as charge trapping nodes for nonvolatile memory device applications Liu, Chien-Wei
2009
7-9 p. 1692-1695
4 p.
artikel
20 Charge retention improvement of charge-trapping type flash device by plasma immersion ion implantation Liu, Li-Jung
2009
7-9 p. 1852-1855
4 p.
artikel
21 Comparative study between conventional macroscopic IV techniques and advanced AFM based methods for electrical characterization of dielectrics at the nanoscale Yanev, V.
2009
7-9 p. 1911-1914
4 p.
artikel
22 Comparison between the electrical properties of atomic layer deposited thin ZrO2 films processed from cyclopentadienyl precursors Dueñas, Salvador
2009
7-9 p. 1689-1691
3 p.
artikel
23 Compositional dependence of work function and Fermi level position of the HfN x /SiO2 system Rothschild, J.A.
2009
7-9 p. 1771-1773
3 p.
artikel
24 Comprehensive investigation of trap-assisted conduction in ultra-thin SrTiO3 layers Manger, D.
2009
7-9 p. 1815-1817
3 p.
artikel
25 Crystallization and silicon diffusion nanoscale effects on the electrical properties of Al2O3 based devices Lanza, M.
2009
7-9 p. 1921-1924
4 p.
artikel
26 Cycling degradation in TANOS stack Ghidini, G.
2009
7-9 p. 1822-1825
4 p.
artikel
27 Data retention characteristics of MANOS-type flash memory device with different metal gates at various levels of charge injection Chang, Man
2009
7-9 p. 1804-1806
3 p.
artikel
28 Defects and instabilities in Hf-dielectric/SiON stacks (Invited Paper) Zhang, J.F.
2009
7-9 p. 1883-1887
5 p.
artikel
29 Degradation dynamics and breakdown of MgO gate oxides Miranda, E.
2009
7-9 p. 1715-1717
3 p.
artikel
30 Deposition of HfO2 on InAs by atomic-layer deposition Wheeler, D.
2009
7-9 p. 1561-1563
3 p.
artikel
31 Editorial Board 2009
7-9 p. ii-
1 p.
artikel
32 Effect of heat treatments on electric dipole at metal/high-k dielectric interfaces measured by in situ XPS Zenkevich, Andrei
2009
7-9 p. 1777-1779
3 p.
artikel
33 Effect of high-temperature annealing on lanthanum aluminate thin films grown by ALD on Si(100) Congedo, G.
2009
7-9 p. 1696-1699
4 p.
artikel
34 Effect of interface state trap density on the characteristics of n-type, enhancement-mode, implant-free In0.3Ga0.7As MOSFETs Ayubi-Moak, J.
2009
7-9 p. 1564-1567
4 p.
artikel
35 Electrical characterization of metal-ferroelectric (Mn-substituted BiFeO3)-insulator (HfO2)-semiconductor capacitors for nonvolatile memory applications Juan, Pi-chun
2009
7-9 p. 1845-1848
4 p.
artikel
36 Electrical properties of atomic-layer-deposited La2O3 films using a novel La formamidinate precursor and ozone Lee, B.
2009
7-9 p. 1658-1661
4 p.
artikel
37 Electrical study of sulfur passivated In0.53Ga0.47As MOS capacitor and transistor with ALD Al2O3 as gate insulator Lin, Han-Chung
2009
7-9 p. 1554-1557
4 p.
artikel
38 Electroluminescence, charge trapping and quenching in Eu implantes SiO2–Si structures Tyagulskiy, S.
2009
7-9 p. 1954-1956
3 p.
artikel
39 Electronic properties of defects in polycrystalline dielectric materials McKenna, K.P.
2009
7-9 p. 1751-1755
5 p.
artikel
40 Electronic structure of bulk and defect α- and γ-Al2O3 Perevalov, T.V.
2009
7-9 p. 1915-1917
3 p.
artikel
41 Electronic structure of memory traps in silicon nitride Gritsenko, V.A.
2009
7-9 p. 1866-1869
4 p.
artikel
42 Electronic structure of oxygen vacancies in La2O3, Lu2O3 and LaLuO3 Xiong, Ka
2009
7-9 p. 1672-1675
4 p.
artikel
43 Estimate of dielectric density using spectroscopic ellipsometry Davey, W.
2009
7-9 p. 1905-1907
3 p.
artikel
44 Experimental demonstration and analysis of high performance and low 1/f noise Tri-gate MOSFETs by optimizing device structure Cheng, Weitao
2009
7-9 p. 1786-1788
3 p.
artikel
45 Experimental evidence of suppression on oxygen vacancy formation in Hf based high-κ gate dielectrics with La incorporation Lu, Chun-Chang
2009
7-9 p. 1703-1706
4 p.
artikel
46 Experimental study for high effective mobility with directly deposited HfO2/La2O3 MOSFET Kawanago, T.
2009
7-9 p. 1629-1631
3 p.
artikel
47 First principles study of substoichiometric germanium oxides Binder, Jan Felix
2009
7-9 p. 1760-1762
3 p.
artikel
48 Flat band voltage control on low Vt metal-gate/high-κ CMOSFETs with small EOT (Invited Paper) Chin, Albert
2009
7-9 p. 1728-1732
5 p.
artikel
49 Ge integration on Si via rare earth oxide buffers: From MBE to CVD (Invited Paper) Schroeder, T.
2009
7-9 p. 1615-1620
6 p.
artikel
50 Germanium surface and interfaces (Invited Paper) Dimoulas, A.
2009
7-9 p. 1577-1581
5 p.
artikel
51 Growth, ambient stability and electrical characterisation of MgO thin films on silicon surfaces Casey, P.
2009
7-9 p. 1711-1714
4 p.
artikel
52 Growth temperature dependence of epitaxial Gd2O3 films on Si(111) Niu, G.
2009
7-9 p. 1700-1702
3 p.
artikel
53 Hf- and Zr-based alkaline earth perovskite dielectrics for memory applications Łupina, G.
2009
7-9 p. 1842-1844
3 p.
artikel
54 “Higher-κ” dielectrics for advanced silicon microelectronic devices: A combinatorial research study Green, M.L.
2009
7-9 p. 1662-1664
3 p.
artikel
55 High κ for MIM and RRAM applications: Impact of the metallic electrode and oxygen vacancies Vallée, C.
2009
7-9 p. 1774-1776
3 p.
artikel
56 High-k dielectrics for future generation memory devices (Invited Paper) Kittl, J.A.
2009
7-9 p. 1789-1795
7 p.
artikel
57 Impact of interface variations on J–V and C–V polarity asymmetry of MIM capacitors with amorphous and crystalline Zr(1− x )Al x O2 films Weinreich, W.
2009
7-9 p. 1826-1829
4 p.
artikel
58 Impact of PDA temperature on electron trap energy and spatial distributions in SiO2/Al2O3 stack as the IPD in Flash memory cells Zheng, X.F.
2009
7-9 p. 1834-1837
4 p.
artikel
59 Improved GeOI substrates for pMOSFET off-state leakage control Romanjek, K.
2009
7-9 p. 1585-1588
4 p.
artikel
60 Improved manufacturability of ZrO2 MIM capacitors by process stabilizing HfO2 addition Müller, J.
2009
7-9 p. 1818-1821
4 p.
artikel
61 Improvement in NBTI reliability of Si-passivated Ge/high-k/metal-gate pFETs Kaczer, B.
2009
7-9 p. 1582-1584
3 p.
artikel
62 Inhomogeneous Ni/Ge Schottky barriers due to variation in Fermi-level pinning Li, X.V.
2009
7-9 p. 1599-1602
4 p.
artikel
63 Inside Front Cover - Editorial Board 2009
7-9 p. IFC-
1 p.
artikel
64 Integration of Gd silicate/TiN gate stacks into SOI n-MOSFETs Schmidt, M.
2009
7-9 p. 1683-1685
3 p.
artikel
65 Interface and border trap relaxation in Si–SiO2 structures with Ge nanocrystals examined by transient capacitance spectroscopy Beyer, R.
2009
7-9 p. 1859-1862
4 p.
artikel
66 Interfaces of high-k dielectrics on GaAs: Their common features and the relationship with Fermi level pinning (Invited Paper) Caymax, Matty
2009
7-9 p. 1529-1535
7 p.
artikel
67 Interface states model for III–V oxide interfaces Robertson, J.
2009
7-9 p. 1558-1560
3 p.
artikel
68 Interface studies of ALD-grown metal oxide insulators on Ge and III–V semiconductors (Invited Paper) McIntyre, Paul C.
2009
7-9 p. 1536-1539
4 p.
artikel
69 Interfacial layer optimization of high-k/metal gate stacks for low temperature processing Linder, Barry P.
2009
7-9 p. 1632-1634
3 p.
artikel
70 Investigation of oxidation-induced strain in a top-down Si nanowire platform Najmzadeh, M.
2009
7-9 p. 1961-1964
4 p.
artikel
71 Lanthanum germanate as dielectric for scaled Germanium metal–oxide–semiconductor devices Andersson, C.
2009
7-9 p. 1635-1637
3 p.
artikel
72 Lanthanum implantation for threshold voltage control in metal/high-k devices Fet, A.
2009
7-9 p. 1782-1785
4 p.
artikel
73 Low temperature formation of SiO2 thin films by nitric acid oxidation of Si (NAOS) and application to thin film transistor (TFT) Matsumoto, T.
2009
7-9 p. 1939-1941
3 p.
artikel
74 Mechanism of positive charge generation in the bulk of HfAlO/SiO2 stack Samanta, Piyas
2009
7-9 p. 1767-1770
4 p.
artikel
75 Modeling complexity of a complex gate oxide Demkov, Alexander A.
2009
7-9 p. 1763-1766
4 p.
artikel
76 Molecular beam deposition of LaAlO3 on silicon for sub-22nm CMOS technological nodes: Towards a perfect control of the oxide/silicon heterointerface Pelloquin, S.
2009
7-9 p. 1686-1688
3 p.
artikel
77 Molecular beam epitaxy passivation studies of Ge and III–V semiconductors for advanced CMOS Merckling, C.
2009
7-9 p. 1592-1595
4 p.
artikel
78 Net negative charge in low-temperature SiO2 gate dielectric layers Boogaard, A.
2009
7-9 p. 1707-1710
4 p.
artikel
79 Opportunities and challenges for Ge CMOS – Control of interfacing field on Ge is a key (Invited Paper) Toriumi, Akira
2009
7-9 p. 1571-1576
6 p.
artikel
80 Oxygen vacancy levels and interfaces of Al2O3 Liu, D.
2009
7-9 p. 1668-1671
4 p.
artikel
81 Paramagnetic Ge dangling bond type defects at (100)Si1− x Ge x /SiO2 interfaces (Invited Paper) Stesmans, A.
2009
7-9 p. 1621-1625
5 p.
artikel
82 Performance and reliability of advanced High-K/Metal gate stacks (Invited Paper) Garros, X.
2009
7-9 p. 1609-1614
6 p.
artikel
83 Phase change and electrical characteristics of Ge–Se–Te alloys Lee, Eui-Bok
2009
7-9 p. 1950-1953
4 p.
artikel
84 Piezoresistive coefficients of ‹110› silicon-on-insulator MOSFETs with 0.135/0.45/10 micrometers channel length with external forces Chang, W.T.
2009
7-9 p. 1965-1968
4 p.
artikel
85 Point defects in Al2O3 and their impact on gate stacks Weber, J.R.
2009
7-9 p. 1756-1759
4 p.
artikel
86 Post metallization annealing study in La2O3/Ge MOS structure Song, J.
2009
7-9 p. 1638-1641
4 p.
artikel
87 Preface Robertson, John
2009
7-9 p. 1519-
1 p.
artikel
88 Program efficiency and high temperature retention of SiN/high-K based memories Vianello, E.
2009
7-9 p. 1830-1833
4 p.
artikel
89 Quasi-damascene metal gate/high-k CMOS using oxygenation through gate electrodes Choi, Changhwan
2009
7-9 p. 1737-1739
3 p.
artikel
90 Recovery study of negative bias temperature instability Wang, Miaomiao
2009
7-9 p. 1888-1890
3 p.
artikel
91 Reliability issues and modeling of Flash and post-Flash memory (Invited Paper) Ielmini, Daniele
2009
7-9 p. 1870-1875
6 p.
artikel
92 Reliability of charge trapping memories with high-k control dielectrics (Invited Paper) Molas, G.
2009
7-9 p. 1796-1803
8 p.
artikel
93 Reliable impurity trap memory with high charge trap efficiency using ultrathin SiO2 impurity host layer for nonvolatile memory application Jung, Seungjae
2009
7-9 p. 1812-1814
3 p.
artikel
94 Resistive non-volatile memory devices (Invited Paper) Waser, Rainer
2009
7-9 p. 1925-1928
4 p.
artikel
95 Roadmap for 22nm and beyond (Invited Paper) Iwai, H.
2009
7-9 p. 1520-1528
9 p.
artikel
96 Scaling potential and MOSFET integration of thermally stable Gd silicate dielectrics Gottlob, H.D.B.
2009
7-9 p. 1642-1645
4 p.
artikel
97 Scaling the MOSFET gate dielectric: From high-k to higher-k? (Invited Paper) Frank, Martin M.
2009
7-9 p. 1603-1608
6 p.
artikel
98 Significant reduction of Positive Bias Temperature Instability in high-k/metal-gate nFETs by incorporation of rare earth metals Kaczer, B.
2009
7-9 p. 1894-1896
3 p.
artikel
99 Silicon nanowire NVM with high-k gate dielectric stack Zhu, Xiaoxiao
2009
7-9 p. 1957-1960
4 p.
artikel
100 Spectroscopic differentiation between O-atom vacancy and divacancy defects, respectively, in TiO2 and HfO2 by X-ray absorption spectroscopy Lucovsky, G.
2009
7-9 p. 1676-1679
4 p.
artikel
101 Sr excess accommodation in ALD grown SrTiO3 and its impact on the dielectric response Clima, S.
2009
7-9 p. 1936-1938
3 p.
artikel
102 Stability and Schottky barrier of silicides: First-principles study Nakayama, T.
2009
7-9 p. 1718-1721
4 p.
artikel
103 Stability of Si impurity in high-κ oxides Umezawa, Naoto
2009
7-9 p. 1780-1781
2 p.
artikel
104 Stabilization of a very high-k tetragonal ZrO2 phase by direct doping with germanium Tsoutsou, D.
2009
7-9 p. 1626-1628
3 p.
artikel
105 Strain sensitivity of gate leakage in strained-SOI nMOSFETs: A benefit for the performance trade-off and a novel way to extract the strain-induced band offset Rochette, F.
2009
7-9 p. 1897-1900
4 p.
artikel
106 Surface passivation and implications on high mobility channel performance (Invited Paper) Hinkle, C.L.
2009
7-9 p. 1544-1549
6 p.
artikel
107 Table of Contents 2009
7-9 p. v-xi
nvt p.
artikel
108 The evolution of optical and electrical properties of low-k dielectrics under bias stress Atkin, J.M.
2009
7-9 p. 1891-1893
3 p.
artikel
109 The impact of Al interfacial layer on resistive switching of La0.7Sr0.3MnO3 for reliable ReRAM applications Lee, Joonmyoung
2009
7-9 p. 1933-1935
3 p.
artikel
110 Theoretical models for work function control (Invited Paper) Shiraishi, Kenji
2009
7-9 p. 1733-1736
4 p.
artikel
111 Theoretical studies on the charge trap mechanism of MONOS type memories – Relationship between atomistic information and program/erase actions Otake, Akira
2009
7-9 p. 1849-1851
3 p.
artikel
112 The progress and challenges of threshold voltage control of high-k/metal-gated devices for advanced technologies (Invited Paper) Tseng, Hsing-Huang
2009
7-9 p. 1722-1727
6 p.
artikel
113 Three-dimensional modeling of the tunneling potential in MOS memories embedded with metal nanoparticles Beniakar, M.
2009
7-9 p. 1856-1858
3 p.
artikel
114 Tuning the dipole at the High-κ/SiO2 interface in advanced metal gate stacks Charbonnier, M.
2009
7-9 p. 1740-1742
3 p.
artikel
115 Ultra-low-energy ion-beam-synthesis of Ge nanocrystals in thin ALD Al2O3 layers for memory applications Dimitrakis, P.
2009
7-9 p. 1838-1841
4 p.
artikel
116 Understanding negative bias temperature instability in the context of hole trapping (Invited Paper) Grasser, T.
2009
7-9 p. 1876-1882
7 p.
artikel
117 Understanding the potential and limitations of HfAlO as interpoly dielectric in floating-gate Flash memory Govoreanu, B.
2009
7-9 p. 1807-1811
5 p.
artikel
118 Unified mechanisms for structural relaxation and crystallization in phase-change memory devices Ielmini, D.
2009
7-9 p. 1942-1945
4 p.
artikel
119 Wide band (0.05–40GHz) characterization and signal propagation in Cu–porous MSQ interconnects Wong, T.K.S.
2009
7-9 p. 1918-1920
3 p.
artikel
                             119 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland