nr |
titel |
auteur |
tijdschrift |
jaar |
jaarg. |
afl. |
pagina('s) |
type |
1 |
Accurate analysis of parasitic current overshoot during forming operation in RRAMs
|
Tirano, S. |
|
2011 |
|
7 |
p. 1129-1132 4 p. |
artikel |
2 |
A comparative 1/f noise study of GeOI wafers obtained by the Ge enrichment technique and the Smart Cut technology
|
Valenza, M. |
|
2011 |
|
7 |
p. 1298-1300 3 p. |
artikel |
3 |
AC response analysis of C–V curves and quantitative analysis of conductance curves in Al2O3/InP interfaces
|
Taoka, Noriyuki |
|
2011 |
|
7 |
p. 1087-1090 4 p. |
artikel |
4 |
A FinFET memory with remote carrier trapping in ONO buried insulator
|
Chang, S.-J. |
|
2011 |
|
7 |
p. 1203-1206 4 p. |
artikel |
5 |
A low gate leakage current and small equivalent oxide thickness MOSFET with Ti/HfO2 high-k gate dielectric
|
Fu, C.H. |
|
2011 |
|
7 |
p. 1309-1311 3 p. |
artikel |
6 |
An impedance-based approach to predict the state-of-charge for carbon-based supercapacitors
|
Li, Zhongxue |
|
2008 |
|
7 |
p. 1549-1554 6 p. |
artikel |
7 |
Anodic dissolution characteristics and electrochemical migration lifetimes of Sn solder in NaCl and Na2SO4 solutions
|
Jung, Ja-Young |
|
2008 |
|
7 |
p. 1597-1602 6 p. |
artikel |
8 |
Anomalous acid diffusion in a triphenylene molecular resist with melamine crosslinker
|
Zaid, H.M. |
|
2008 |
|
7 |
p. 1540-1544 5 p. |
artikel |
9 |
A non-fluorine mold release agent for Ni stamp in nanoimprint process
|
Chang, Tien-Li |
|
2008 |
|
7 |
p. 1608-1612 5 p. |
artikel |
10 |
A novel source/drain on void (SDOV) MOSFET implemented by local co-implantation of hydrogen and helium
|
Wu, Dake |
|
2008 |
|
7 |
p. 1490-1494 5 p. |
artikel |
11 |
A simple and versatile method for statistical analysis of the electrical properties of individual double walled carbon nanotubes
|
Seichepine, Florent |
|
2011 |
|
7 |
p. 1637-1639 3 p. |
artikel |
12 |
A study of SnAgCu solder paste transfer efficiency and effects of optimal reflow profile on solder deposits
|
Amalu, E.H. |
|
2011 |
|
7 |
p. 1610-1617 8 p. |
artikel |
13 |
Atomic bonding and disorder at Ge:GeO2 interfaces
|
Li, H. |
|
2011 |
|
7 |
p. 1564-1568 5 p. |
artikel |
14 |
Atomic-layer-deposited Al2O3 and HfO2 on GaN: A comparative study on interfaces and electrical characteristics
|
Chang, Y.C. |
|
2011 |
|
7 |
p. 1207-1210 4 p. |
artikel |
15 |
Atomic-layer-deposited tantalum silicate as a gate dielectric for III–V MOS devices
|
Adelmann, C. |
|
2011 |
|
7 |
p. 1098-1100 3 p. |
artikel |
16 |
Atomic layer deposition of Al2O3 on S-passivated Ge
|
Sioncke, S. |
|
2011 |
|
7 |
p. 1553-1556 4 p. |
artikel |
17 |
Atomic-scale theory on degradation of HfSiON gate stacks by atomic hydrogen accompanied by its interaction with oxygen vacancy and substitutional nitrogen
|
Nakasaki, Yasushi |
|
2011 |
|
7 |
p. 1457-1460 4 p. |
artikel |
18 |
Author Index
|
|
|
2011 |
|
7 |
p. 1640-1657 18 p. |
artikel |
19 |
Band alignment of Hf–Zr oxides on Al2O3/GeO2/Ge stacks
|
Fadida, S. |
|
2011 |
|
7 |
p. 1557-1559 3 p. |
artikel |
20 |
Band offsets at Ge/GeO2 interfaces: Effect of different interfacial bonding patterns
|
Broqvist, Peter |
|
2011 |
|
7 |
p. 1467-1470 4 p. |
artikel |
21 |
Band offsets at the (100)GaSb/Al2O3 interface from internal electron photoemission study
|
Afanas’ev, V.V. |
|
2011 |
|
7 |
p. 1050-1053 4 p. |
artikel |
22 |
BE-TANOS: Feasibility and technology limitations
|
Ghidini, G. |
|
2011 |
|
7 |
p. 1182-1185 4 p. |
artikel |
23 |
Bias dependence of PBTI degradation mechanism in metal-oxide-semiconductor field effect transistors with La-incorporated hafnium-based dielectric
|
Jang, Tae-Young |
|
2011 |
|
7 |
p. 1373-1375 3 p. |
artikel |
24 |
Bipolar resistive switching effect in Gd2O3 films for transparent memory application
|
Liu, Kou-Chen |
|
2011 |
|
7 |
p. 1586-1589 4 p. |
artikel |
25 |
Changes in effective work function of Hf x Ru1−x alloy gate electrode
|
Nabatame, T. |
|
2008 |
|
7 |
p. 1524-1528 5 p. |
artikel |
26 |
Characterization of chemical bonding features at metal/GeO2 Interfaces by X-ray photoelectron spectroscopy
|
Matsui, Masafumi |
|
2011 |
|
7 |
p. 1549-1552 4 p. |
artikel |
27 |
Characterization of ion/electron beam induced deposition of electrical contacts at the sub-μm scale
|
Brunel, D. |
|
2011 |
|
7 |
p. 1569-1572 4 p. |
artikel |
28 |
Characterization of negative-type photoresists containing polyhedral oligomeric silsesquioxane methacrylate
|
Lin, Ho-May |
|
2008 |
|
7 |
p. 1624-1628 5 p. |
artikel |
29 |
Charge transport in high-κ stacks for charge-trapping memory applications: A modeling perspective (invited)
|
Larcher, Luca |
|
2011 |
|
7 |
p. 1168-1173 6 p. |
artikel |
30 |
Charge trapping and interface characteristics in normally-off Al2O3/GaN-MOSFETs
|
Kim, Ki-Won |
|
2011 |
|
7 |
p. 1225-1227 3 p. |
artikel |
31 |
Charge trapping in substoichiometric germanium oxide
|
Binder, Jan Felix |
|
2011 |
|
7 |
p. 1428-1431 4 p. |
artikel |
32 |
Charge-trapping MOS memory structure using anodic alumina charging medium
|
Hourdakis, E. |
|
2011 |
|
7 |
p. 1573-1575 3 p. |
artikel |
33 |
CHC degradation of strained devices based on SiON and high-k gate dielectric materials
|
Amat, E. |
|
2011 |
|
7 |
p. 1408-1411 4 p. |
artikel |
34 |
Composition and electrical characteristics of Al2O3–HfO2–HfTiO nanolaminates on Si
|
Mikhelashvili, V. |
|
2008 |
|
7 |
p. 1545-1548 4 p. |
artikel |
35 |
Comprehensive studies of the degradation mechanism in amorphous InGaZnO transistors by the negative bias illumination stress
|
Ji, Kwang Hwan |
|
2011 |
|
7 |
p. 1412-1416 5 p. |
artikel |
36 |
Copper cleaning in supercritical CO2 for the microprocessor interconnects
|
Ventosa, C. |
|
2008 |
|
7 |
p. 1629-1638 10 p. |
artikel |
37 |
Defect gap states on III–V semiconductor–oxide interfaces (invited)
|
Robertson, J. |
|
2011 |
|
7 |
p. 1440-1443 4 p. |
artikel |
38 |
Defects-induced gap states in hydrogenated γ-alumina used as blocking layer for non-volatile memories
|
Masoero, L. |
|
2011 |
|
7 |
p. 1448-1451 4 p. |
artikel |
39 |
Development of manipulation technology of ferroelectric polymer film: Photo-lithographic patterning and multilayer formation
|
Kim, Woo Young |
|
2011 |
|
7 |
p. 1576-1581 6 p. |
artikel |
40 |
Dielectric properties and ac electrical conductivity studies of MIS type Schottky diodes at high temperatures
|
Tataroğlu, A. |
|
2008 |
|
7 |
p. 1518-1523 6 p. |
artikel |
41 |
Dielectric reliability of 70nm pitch air-gap interconnect structures
|
Pantouvaki, Marianna |
|
2011 |
|
7 |
p. 1618-1622 5 p. |
artikel |
42 |
Direct measurement of interfacial structure in epitaxial Gd2O3 on GaAs (001) using scanning tunneling microscopy
|
Chiu, Y.P. |
|
2011 |
|
7 |
p. 1058-1060 3 p. |
artikel |
43 |
3D simulation of triple-gate MOSFETs with different mobility regions
|
Conde, J. |
|
2011 |
|
7 |
p. 1633-1636 4 p. |
artikel |
44 |
Effect of biasing at elevated temperature on the electronic structure of Pt/HfO2/Si stacks
|
Matveyev, Yu. |
|
2011 |
|
7 |
p. 1353-1356 4 p. |
artikel |
45 |
Effect of gas mixing ratio on etch behaviors of Ba2Ti9O20 (BTO) and Pt thin films in Cl2/Ar inductively coupled plasma
|
Efremov, Alexander |
|
2008 |
|
7 |
p. 1584-1589 6 p. |
artikel |
46 |
Effect of high temperature annealing on tunnel oxide properties in TANOS devices
|
Arreghini, A. |
|
2011 |
|
7 |
p. 1155-1158 4 p. |
artikel |
47 |
Effect of plasma treatments on interface adhesion between SiOCH ultra-low-k film and SiCN etch stop layer
|
Tsai, Hung-Chun |
|
2008 |
|
7 |
p. 1658-1663 6 p. |
artikel |
48 |
Effect of thin Si insertion at metal gate/high-k interface on electrical characteristics of MOS device with La2O3
|
Kitayama, D. |
|
2011 |
|
7 |
p. 1330-1333 4 p. |
artikel |
49 |
Effects of channel layer thickness on the electrical characteristics of top-gate staggered microcrystalline-Si thin-film transistors
|
Juang, M.-H. |
|
2011 |
|
7 |
p. 1582-1585 4 p. |
artikel |
50 |
Effects of Co addition in eutectic Sn–3.5Ag solder on shear strength and microstructural development
|
Lee, Jung-Sub |
|
2008 |
|
7 |
p. 1577-1583 7 p. |
artikel |
51 |
Effects of gate insulators on the performance of a-IGZO TFT fabricated at room-temperature
|
Chun, Yoon Soo |
|
2011 |
|
7 |
p. 1590-1593 4 p. |
artikel |
52 |
Electrical properties of TiO2-based MIM capacitors deposited by TiCl4 and TTIP based atomic layer deposition processes
|
Hudec, Boris |
|
2011 |
|
7 |
p. 1514-1516 3 p. |
artikel |
53 |
Electromagnetic force-assisted imprint technology for fabrication of submicron-structure
|
Hocheng, H. |
|
2008 |
|
7 |
p. 1652-1657 6 p. |
artikel |
54 |
Electronic and optical properties of hafnia polymorphs
|
Perevalov, T.V. |
|
2011 |
|
7 |
p. 1475-1477 3 p. |
artikel |
55 |
Electron spin resonance study of defects in low-κ oxide insulators (κ =2.5–2.0)
|
Afanas’ev, V.V. |
|
2011 |
|
7 |
p. 1503-1506 4 p. |
artikel |
56 |
Electron tunneling in MIS capacitors with the MBE-grown fluoride layers on Si(111) and Ge(111): Role of transverse momentum conservation
|
Illarionov, Y.Y. |
|
2011 |
|
7 |
p. 1291-1294 4 p. |
artikel |
57 |
Enhanced operation and retention characteristics in charge-trapping flash memory device with a novel Si/Ge super-lattice channel
|
Liu, Li-Jung |
|
2011 |
|
7 |
p. 1159-1163 5 p. |
artikel |
58 |
Epitaxial strontium oxide layers on silicon for gate-first and gate-last TiN/HfO2 gate stack scaling
|
Frank, Martin M. |
|
2011 |
|
7 |
p. 1312-1316 5 p. |
artikel |
59 |
Epitaxy of BaTiO3 thin film on Si(001) using a SrTiO3 buffer layer for non-volatile memory application
|
Niu, G. |
|
2011 |
|
7 |
p. 1232-1235 4 p. |
artikel |
60 |
Evaluation of process parameter space of bulk FinFETs using 3D TCAD
|
Nawaz, Muhammad |
|
2008 |
|
7 |
p. 1529-1539 11 p. |
artikel |
61 |
Evaluation of the N- and La-induced defects in the high-κ gate stack using low frequency noise characterization
|
Young, C.D. |
|
2011 |
|
7 |
p. 1255-1258 4 p. |
artikel |
62 |
Evidence for compliance controlled oxygen vacancy and metal filament based resistive switching mechanisms in RRAM
|
Raghavan, Nagarajan |
|
2011 |
|
7 |
p. 1124-1128 5 p. |
artikel |
63 |
Experimental investigation of ESD design window for fully depleted SOI N-MOSFETs
|
Benoist, Thomas |
|
2011 |
|
7 |
p. 1276-1279 4 p. |
artikel |
64 |
Experimental observations on nonlinear phenomena in transducer assembly for thermosonic Flip-Chip bonding
|
Han, Lei |
|
2008 |
|
7 |
p. 1568-1576 9 p. |
artikel |
65 |
Fabrication and electrical characteristics of Schottky diode based on organic material
|
Güllü, Ö. |
|
2008 |
|
7 |
p. 1647-1651 5 p. |
artikel |
66 |
Fabrication of a nano-scale embedded metal electrode in flexible films by UV/thermal nanoimprint lithography tools
|
Park, S.Y. |
|
2011 |
|
7 |
p. 1606-1609 4 p. |
artikel |
67 |
Fabrication of large area nano-rings for MRAM application
|
Luo, Yong |
|
2008 |
|
7 |
p. 1555-1560 6 p. |
artikel |
68 |
Fabrication of ultra high aspect ratio Bragg gratings for optical filter
|
Sardo, Stefano |
|
2008 |
|
7 |
p. 1511-1513 3 p. |
artikel |
69 |
Filament diffusion model for simulating reset and retention processes in RRAM
|
Larentis, S. |
|
2011 |
|
7 |
p. 1119-1123 5 p. |
artikel |
70 |
From defects creation to circuit reliability – A bottom-up approach (invited)
|
Huard, V. |
|
2011 |
|
7 |
p. 1396-1407 12 p. |
artikel |
71 |
Gate-induced drain leakage in FD-SOI devices: What the TFET teaches us about the MOSFET
|
Wan, J. |
|
2011 |
|
7 |
p. 1301-1304 4 p. |
artikel |
72 |
Ge-related impurities in high-k oxides: Carrier traps and interaction with native defects
|
Golias, E. |
|
2011 |
|
7 |
p. 1432-1435 4 p. |
artikel |
73 |
Grain boundary mediated leakage current in polycrystalline HfO2 films
|
McKenna, K. |
|
2011 |
|
7 |
p. 1272-1275 4 p. |
artikel |
74 |
Graphene: Materials to devices (invited)
|
Chae, J. |
|
2011 |
|
7 |
p. 1211-1213 3 p. |
artikel |
75 |
Hybrid EB-writing technique with 100kV-SB and 50kV-VSB writers: Use of the former for outlines and the latter for bodies after pattern data splitting
|
Fujita, Hiroshi |
|
2008 |
|
7 |
p. 1514-1517 4 p. |
artikel |
76 |
ICP-RIE etching of self-aligned InP based HBTs with Cl2/N2 chemistry
|
Topaloglu, S. |
|
2011 |
|
7 |
p. 1601-1605 5 p. |
artikel |
77 |
Identification of defect levels at In x Ga 1 - x As/oxide interfaces through hybrid functionals
|
Komsa, Hannu-Pekka |
|
2011 |
|
7 |
p. 1436-1439 4 p. |
artikel |
78 |
Identification of electron trap location degrading low-frequency noise and PBTI in poly-Si/HfO2/interface-layer gate-stack MOSFETs
|
Matsuki, T. |
|
2011 |
|
7 |
p. 1421-1424 4 p. |
artikel |
79 |
III–V nMOSFETs – Some issues associated with roadmap worthiness (invited)
|
Thayne, Iain |
|
2011 |
|
7 |
p. 1070-1075 6 p. |
artikel |
80 |
Impact of GeO x interfacial layer thickness on Al2O3/Ge MOS interface properties
|
Zhang, R. |
|
2011 |
|
7 |
p. 1533-1536 4 p. |
artikel |
81 |
Impact of insertion of ultrathin TaO x layer at the Pt/TiO2 interface on resistive switching characteristics
|
Wei, Guobin |
|
2011 |
|
7 |
p. 1152-1154 3 p. |
artikel |
82 |
Improved electrical characteristics and reliability of Ge MOSFET device with nitrided high-k gate dielectric by plasma immersion ion implantation
|
Fu, Chung-Hao |
|
2011 |
|
7 |
p. 1560-1563 4 p. |
artikel |
83 |
Improved EOT and leakage current for metal–insulator–metal capacitor stacks with rutile TiO2
|
Popovici, Mihaela |
|
2011 |
|
7 |
p. 1517-1520 4 p. |
artikel |
84 |
Improved low frequency noise model for MOSFET operated in non-linear region
|
Boutchacha, T. |
|
2011 |
|
7 |
p. 1280-1282 3 p. |
artikel |
85 |
Improved resistive switching properties of Ti/ZrO2/Pt memory devices for RRAM application
|
Wang, Sheng-Yu |
|
2011 |
|
7 |
p. 1628-1632 5 p. |
artikel |
86 |
Improved retention characteristic of charge-trapped flash device with sealing layer/Al2O3 or Al2O3/high-k stacked blocking layers
|
Ye, Zong-Hao |
|
2011 |
|
7 |
p. 1194-1197 4 p. |
artikel |
87 |
Improvement of replication accuracy of micro-featured molding using gas-assisted heating for mold surface
|
Chen, Shia Chung |
|
2011 |
|
7 |
p. 1594-1600 7 p. |
artikel |
88 |
Improving electrical characteristics of W/HfO2/In0.53Ga0.47As gate stacks by altering deposition techniques
|
Zade, D. |
|
2011 |
|
7 |
p. 1109-1112 4 p. |
artikel |
89 |
Influence of La substitution on the electrical properties of metal–ferroelectric (BiFeO3)-insulator (CeO2)-semiconductor nonvolatile memory structures
|
Juan, Pi-Chun |
|
2011 |
|
7 |
p. 1217-1220 4 p. |
artikel |
90 |
Influence of mechanical stress on adhesion properties of DC magnetron sputtered Ti/NiV/Ag layers on n+Si substrate
|
Resnik, D. |
|
2008 |
|
7 |
p. 1603-1607 5 p. |
artikel |
91 |
Influence of metal gate and capping film stress on TANOS cell performance
|
Czernohorsky, M. |
|
2011 |
|
7 |
p. 1178-1181 4 p. |
artikel |
92 |
Inherent interfacial Si dangling bond point defects in thermal (110)Si/SiO2
|
Keunen, K. |
|
2011 |
|
7 |
p. 1492-1494 3 p. |
artikel |
93 |
Initial leakage current related to extrinsic breakdown in HfO2/Al2O3 nanolaminate ALD dielectrics
|
Martínez-Domingo, C. |
|
2011 |
|
7 |
p. 1380-1383 4 p. |
artikel |
94 |
Inside Front Cover - Editorial Board
|
|
|
2008 |
|
7 |
p. IFC- 1 p. |
artikel |
95 |
Inside Front Cover - Editorial Board
|
|
|
2011 |
|
7 |
p. IFC- 1 p. |
artikel |
96 |
In situ atomic layer deposition and synchrotron-radiation photoemission study of Al2O3 on pristine n-GaAs(001)-4×6 surface
|
Chang, Y.H. |
|
2011 |
|
7 |
p. 1101-1104 4 p. |
artikel |
97 |
Interface composition of atomic layer deposited HfO2 and Al2O3 thin films on InAs studied by X-ray photoemission spectroscopy
|
Timm, R. |
|
2011 |
|
7 |
p. 1091-1094 4 p. |
artikel |
98 |
Interfaces and performance: What future for nanoscale Ge and SiGe based CMOS?
|
Le Royer, C. |
|
2011 |
|
7 |
p. 1541-1548 8 p. |
artikel |
99 |
Interface state densities, low frequency noise and electron mobility in surface channel In0.53Ga0.47As n-MOSFETs with a ZrO2 gate dielectric
|
Negara, Muhammad Adi |
|
2011 |
|
7 |
p. 1095-1097 3 p. |
artikel |
100 |
Interface states characterization in heterojunction solar cells from CV–GV measurements and modeling
|
Garros, X. |
|
2011 |
|
7 |
p. 1247-1250 4 p. |
artikel |
101 |
Interface traps and random dopants induced characteristic fluctuations in emerging MOSFETs
|
Li, Yiming |
|
2011 |
|
7 |
p. 1269-1271 3 p. |
artikel |
102 |
Interfacial reactions of Gd- and Nb-oxide based high-k layers deposited by aqueous chemical solution deposition
|
Dewulf, D. |
|
2011 |
|
7 |
p. 1338-1341 4 p. |
artikel |
103 |
Investigation of bulk defects in amorphous and crystalline HfO2 thin films
|
Modreanu, M. |
|
2011 |
|
7 |
p. 1499-1502 4 p. |
artikel |
104 |
Investigation of reoxidation mechanisms in nitrided tunnel oxides for Flash memory applications
|
Breil, N. |
|
2011 |
|
7 |
p. 1186-1188 3 p. |
artikel |
105 |
Is interfacial chemistry correlated to gap states for high-k/III–V interfaces?
|
Wang, W. |
|
2011 |
|
7 |
p. 1061-1065 5 p. |
artikel |
106 |
Lanthanum diffusion in the TiN/LaO x /HfSiO/SiO2/Si stack
|
Martinez, E. |
|
2011 |
|
7 |
p. 1349-1352 4 p. |
artikel |
107 |
LaScO3 as a higher-κ dielectric for p-MOSFETs
|
Durğun Özben, E. |
|
2011 |
|
7 |
p. 1323-1325 3 p. |
artikel |
108 |
Local oxidation of titanium films by non-contact atomic force microscopy
|
Fang, Te-Hua |
|
2008 |
|
7 |
p. 1616-1623 8 p. |
artikel |
109 |
Low-frequency noise in SOI pseudo-MOSFET with pressure probes
|
El Hajj Diab, A. |
|
2011 |
|
7 |
p. 1283-1285 3 p. |
artikel |
110 |
Magnetic resonance spectroscopy of defects at the dielectric-semiconductor interface: Ge substrates and Si nanowires (invited)
|
Fanciulli, M. |
|
2011 |
|
7 |
p. 1482-1487 6 p. |
artikel |
111 |
Materials and process aspect of cross-point RRAM (invited)
|
Lee, Joonmyoung |
|
2011 |
|
7 |
p. 1113-1118 6 p. |
artikel |
112 |
Mechanical properties and fracture mechanism of porous SiOCH low-k dielectrics
|
Chang, H.L. |
|
2011 |
|
7 |
p. 1623-1627 5 p. |
artikel |
113 |
Metal–insulator–metal capacitors with MOCVD grown Ce–Al–O as a dielectric
|
Lukosius, M. |
|
2011 |
|
7 |
p. 1529-1532 4 p. |
artikel |
114 |
Millisecond flash-lamp annealing of LaLuO3
|
Lehmann, J. |
|
2011 |
|
7 |
p. 1346-1348 3 p. |
artikel |
115 |
MIM in 3D: Dream or reality? (invited)
|
Klootwijk, J.H. |
|
2011 |
|
7 |
p. 1507-1513 7 p. |
artikel |
116 |
Mobility spectrum analysis of anisotropic electron transport in N-polar GaN/AlGaN heterostructures on vicinal sapphire substrates
|
Umana-Membreno, G.A. |
|
2011 |
|
7 |
p. 1079-1082 4 p. |
artikel |
117 |
Model for the leakage current decay in high-field stressed Al/HfYO x /GaAs structures
|
Miranda, E. |
|
2011 |
|
7 |
p. 1295-1297 3 p. |
artikel |
118 |
Moore’s crystal ball: Device physics and technology past the 15nm generation
|
Kuhn, Kelin J. |
|
2011 |
|
7 |
p. 1044-1049 6 p. |
artikel |
119 |
Morphology evolution in TiN/Al–0.5Cu/Ti interconnection during chamber long stay and post-deposition annealing correlated to defect formation in metallization processing
|
Li, Ming-Yen |
|
2008 |
|
7 |
p. 1502-1510 9 p. |
artikel |
120 |
MOS devices with tetragonal ZrO2 as gate dielectric formed by annealing ZrO2/Ge/ZrO2 laminate
|
Wu, Yung-Hsien |
|
2011 |
|
7 |
p. 1361-1364 4 p. |
artikel |
121 |
Nanoanalysis of a sub-nanometre reaction layer in a metal inserted high-k gate stack
|
Craven, A.J. |
|
2011 |
|
7 |
p. 1488-1491 4 p. |
artikel |
122 |
Nano-scale metallization of Au on flexible polyimide substrate by reversal imprint and lift-off process
|
Chen, Henry J.H. |
|
2008 |
|
7 |
p. 1561-1567 7 p. |
artikel |
123 |
Nanosized metal grains induced electrical characteristic fluctuation in 16-nm-gate high-κ/metal gate bulk FinFET devices
|
Li, Yiming |
|
2011 |
|
7 |
p. 1240-1242 3 p. |
artikel |
124 |
NBTI related time-dependent variability of mobility and threshold voltage in pMOSFETs and their impact on circuit performance
|
Ayala, N. |
|
2011 |
|
7 |
p. 1384-1387 4 p. |
artikel |
125 |
New numerical low frequency noise model for front and buried oxide trap density characterization in FDSOI MOSFETs
|
El Husseini, J. |
|
2011 |
|
7 |
p. 1286-1290 5 p. |
artikel |
126 |
1.2nm capacitance equivalent thickness gate stacks on Si-passivated GaAs
|
El Kazzi, M. |
|
2011 |
|
7 |
p. 1066-1069 4 p. |
artikel |
127 |
On the dynamic NBTI of the HfO2 and HfSiON P–MOSFET
|
Gao, Y. |
|
2011 |
|
7 |
p. 1392-1395 4 p. |
artikel |
128 |
On the energy distribution of interface states and their relaxation time and capture cross section profiles in Al/SiO2/p-Si (MIS) Schottky diodes
|
Altındal, Ş. |
|
2008 |
|
7 |
p. 1495-1501 7 p. |
artikel |
129 |
On the identification of the oxygen vacancy in HfO2
|
Clark, S.J. |
|
2011 |
|
7 |
p. 1464-1466 3 p. |
artikel |
130 |
On the impact of the Si passivation layer thickness on the NBTI of nanoscaled Si0.45Ge0.55 pMOSFETs
|
Franco, J. |
|
2011 |
|
7 |
p. 1388-1391 4 p. |
artikel |
131 |
On the mechanisms limiting mobility in InP/InGaAs buried channel nMISFETs
|
Urabe, Y. |
|
2011 |
|
7 |
p. 1076-1078 3 p. |
artikel |
132 |
Optimization of gate stack parameters towards 3D-SONOS application
|
Breuil, L. |
|
2011 |
|
7 |
p. 1164-1167 4 p. |
artikel |
133 |
Optimization of hafnium oxide for use in nanoparticle memories
|
Verrelli, E. |
|
2011 |
|
7 |
p. 1189-1193 5 p. |
artikel |
134 |
Optimizations of a photoresist coating process for photolithography in wafer manufacture via a radial basis neural network: A case study
|
Shie, Jie-Ren |
|
2008 |
|
7 |
p. 1664-1670 7 p. |
artikel |
135 |
O-vacancies in transition metal (TM) oxides: Coordination and local site symmetry of transition and negative ion states in TM2O3 and TMO2 oxides
|
Lucovsky, G. |
|
2011 |
|
7 |
p. 1471-1474 4 p. |
artikel |
136 |
Patterning a nanowell sensor biochip for specific and rapid detection of bacteria
|
Seo, Sungkyu |
|
2008 |
|
7 |
p. 1484-1489 6 p. |
artikel |
137 |
Performance enhancement of GaN SB-MOSFET on Si substrate using two-step growth method
|
Kim, Dong-Seok |
|
2011 |
|
7 |
p. 1221-1224 4 p. |
artikel |
138 |
Performance of (110) p-channel SOI-MOSFETs fabricated by deep-amorphization and solid-phase epitaxial regrowth processes
|
Ohata, A. |
|
2011 |
|
7 |
p. 1265-1268 4 p. |
artikel |
139 |
Phase stabilization of sputtered strontium zirconate
|
Grube, M. |
|
2011 |
|
7 |
p. 1326-1329 4 p. |
artikel |
140 |
Physical analysis of breakdown in high-κ/metal gate stacks using TEM/EELS and STM for reliability enhancement (invited)
|
Pey, Kin Leong |
|
2011 |
|
7 |
p. 1365-1372 8 p. |
artikel |
141 |
Polarimetry of illumination for 193nm immersion lithography
|
Nomura, Hiroshi |
|
2008 |
|
7 |
p. 1671-1675 5 p. |
artikel |
142 |
Post-deposition processing and oxygen content of TiO2-based capacitors
|
Fröhlich, K. |
|
2011 |
|
7 |
p. 1525-1528 4 p. |
artikel |
143 |
Preface
|
|
|
2011 |
|
7 |
p. 1043- 1 p. |
artikel |
144 |
Radiation effects in new materials for nano-devices
|
Schrimpf, R.D. |
|
2011 |
|
7 |
p. 1259-1264 6 p. |
artikel |
145 |
Reliability and gate conduction variability of HfO2-based MOS devices: A combined nanoscale and device level study
|
Bayerl, A. |
|
2011 |
|
7 |
p. 1334-1337 4 p. |
artikel |
146 |
Remote phonon and surface roughness limited universal electron mobility of In0.53Ga0.47As surface channel MOSFETs
|
Sonnet, A.M. |
|
2011 |
|
7 |
p. 1083-1086 4 p. |
artikel |
147 |
Remote plasma-deposited GeO2 with quartz-like Ge- and O-local bonding: Band-edge state and O-vacancy comparisons with SiO2
|
Lucovsky, G. |
|
2011 |
|
7 |
p. 1537-1540 4 p. |
artikel |
148 |
Resistance switching in HfO2-based OxRRAM devices
|
Calka, P. |
|
2011 |
|
7 |
p. 1140-1142 3 p. |
artikel |
149 |
Resistive switching characteristics of CMOS embedded HfO2-based 1T1R cells
|
Walczyk, D. |
|
2011 |
|
7 |
p. 1133-1135 3 p. |
artikel |
150 |
Resistive switching characteristics of solution-processed TiO x for next-generation non-volatile memory application; transparency, flexibility, and nano-scale memory feasibility
|
Jung, Seungjae |
|
2011 |
|
7 |
p. 1143-1147 5 p. |
artikel |
151 |
Resistive switching characteristics of ultra-thin TiO x
|
Park, Jubong |
|
2011 |
|
7 |
p. 1136-1139 4 p. |
artikel |
152 |
Shallow trench isolation based on selective formation of oxidized porous silicon
|
Gharbi, A. |
|
2011 |
|
7 |
p. 1214-1216 3 p. |
artikel |
153 |
Shifting Schottky barrier heights with ultra-thin dielectric layers
|
Lin, L. |
|
2011 |
|
7 |
p. 1461-1463 3 p. |
artikel |
154 |
Single SrTiO3 and Al2O3/SrTiO3/Al2O3 based MIM capacitors: Impact of the bottom electrode material
|
Baristiran Kaynak, C. |
|
2011 |
|
7 |
p. 1521-1524 4 p. |
artikel |
155 |
SiON and SiO2/HfSiON gate oxides time dependent dielectric breakdown measurements at nanoscale in ultra high vacuum
|
Delcroix, P. |
|
2011 |
|
7 |
p. 1376-1379 4 p. |
artikel |
156 |
Soft breakdown in irradiated high-κ nanolaminates
|
Palumbo, F. |
|
2011 |
|
7 |
p. 1425-1427 3 p. |
artikel |
157 |
Stability and charge transfer at the interface between SiC(0001) and epitaxial graphene
|
Sclauzero, Gabriele |
|
2011 |
|
7 |
p. 1478-1481 4 p. |
artikel |
158 |
Stack engineering of TANOS charge-trap flash memory cell using high-κ ZrO2 grown by ALD as charge trapping layer
|
Congedo, G. |
|
2011 |
|
7 |
p. 1174-1177 4 p. |
artikel |
159 |
Strain-induced ferromagnetism in LaCoO3: Theory and growth on Si (100)
|
Posadas, A. |
|
2011 |
|
7 |
p. 1444-1447 4 p. |
artikel |
160 |
Study of CVD nanowire high-k metal interface quality for interconnect level MOS devices
|
Morel, P.H. |
|
2011 |
|
7 |
p. 1228-1231 4 p. |
artikel |
161 |
Study of interfaces and band offsets in TiN/amorphous LaLuO3 gate stacks
|
Mitrovic, I.Z. |
|
2011 |
|
7 |
p. 1495-1498 4 p. |
artikel |
162 |
Study on electrical characteristics and reliability of fluorinated HfO2 for HKMG
|
Lee, J.C. |
|
2011 |
|
7 |
p. 1417-1420 4 p. |
artikel |
163 |
Surface modification on plating-based Cu/Sn/0.7Cu lead-free copper pillars by using polishing
|
Hsu, Hou jun |
|
2008 |
|
7 |
p. 1590-1596 7 p. |
artikel |
164 |
Synthesis of gallium oxide nanowires and their electrical properties
|
Li, Zijiong |
|
2008 |
|
7 |
p. 1613-1615 3 p. |
artikel |
165 |
Table of Contents
|
|
|
2011 |
|
7 |
p. v-xii nvt p. |
artikel |
166 |
Temperature and annealing effects on InAs nanowire MOSFETs
|
Johansson, S. |
|
2011 |
|
7 |
p. 1105-1108 4 p. |
artikel |
167 |
Temperature and voltage dependences of the capture and emission times of individual traps in high-k dielectrics
|
Toledano-Luque, M. |
|
2011 |
|
7 |
p. 1243-1246 4 p. |
artikel |
168 |
The influence of crystallinity on the resistive switching behavior of TiO2
|
Mähne, H. |
|
2011 |
|
7 |
p. 1148-1151 4 p. |
artikel |
169 |
Thermal stability of Ni silicide films on heavily doped n+ and p+ Si substrates
|
Ahmet, Parhat |
|
2008 |
|
7 |
p. 1642-1646 5 p. |
artikel |
170 |
The role of oxygen-related defects and hydrogen impurities in HfO2 and ZrO2
|
Lyons, J.L. |
|
2011 |
|
7 |
p. 1452-1456 5 p. |
artikel |
171 |
The structural and electrical properties of the SrTa2O6/In0.53Ga0.47As/InP system
|
Zhang, P.F. |
|
2011 |
|
7 |
p. 1054-1057 4 p. |
artikel |
172 |
Three-dimensional SU-8 sub-micrometer structuring by electron beam lithography
|
Koller, Daniel M. |
|
2008 |
|
7 |
p. 1639-1641 3 p. |
artikel |
173 |
Three-interface pseudo-MOSFET models for the characterization of SOI wafers with ultrathin film and BOX
|
Rodriguez, Noel |
|
2011 |
|
7 |
p. 1236-1239 4 p. |
artikel |
174 |
Towards barrier height modulation in HfO2/TiN by oxygen scavenging – Dielectric defects or metal induced gap states?
|
Pantisano, Luigi |
|
2011 |
|
7 |
p. 1251-1254 4 p. |
artikel |
175 |
Towards metal electrode interface scavenging of rare-earth scandates: A Sc2O3 and Gd2O3 study
|
Pampillón, M.A. |
|
2011 |
|
7 |
p. 1357-1360 4 p. |
artikel |
176 |
Transferring of GaAs microtips using selective wet etching Al0.7Ga0.3As sacrificial layer
|
Sun, Xiaojuan |
|
2008 |
|
7 |
p. 1481-1483 3 p. |
artikel |
177 |
Transport and interface states in high-κ LaSiO x dielectric
|
Gomeniuk, Y.Y. |
|
2011 |
|
7 |
p. 1342-1345 4 p. |
artikel |
178 |
Ultra-dense silicon nanowires: A technology, transport and interfaces challenges insight (invited)
|
Ernst, T. |
|
2011 |
|
7 |
p. 1198-1202 5 p. |
artikel |
179 |
Ultrathin EOT high-κ/metal gate devices for future technologies: Challenges, achievements and perspectives (invited)
|
Ragnarsson, L.-Å. |
|
2011 |
|
7 |
p. 1317-1322 6 p. |
artikel |
180 |
Understanding reversal effects of metallic aluminum introduced in HfSiON/TiN PMOSFETs
|
Baudot, S. |
|
2011 |
|
7 |
p. 1305-1308 4 p. |
artikel |