Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             138 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Acknowledgement of Reviewers 2010 2010
12 p. 2642-2644
3 p.
artikel
2 Acknowledgment of reviewers 2009
12 p. I-III
nvt p.
artikel
3 Acknowledgment of Reviewers 2007 2007
12 p. I-
1 p.
artikel
4 A comparative study of depth profiling of interface statesusing charge pumping and low frequency noise measurement in SiO2/HfO2 gate stack nMOSFETs Lee, Kyong Taek
2011
12 p. 3411-3414
4 p.
artikel
5 ALD of LaHfO x nano-laminates for high-κ gate dielectric applications Lee, B.
2011
12 p. 3385-3388
4 p.
artikel
6 Analysis of reliability characteristics of high capacitance density MIM capacitors with SiO2–HfO2–SiO2 dielectrics Park, Sang-Uk
2011
12 p. 3389-3392
4 p.
artikel
7 Analysis of trap effect on reliability using the charge pumping technology in La-incorporated high-k dielectrics Kwon, Hyuk-Min
2011
12 p. 3415-3418
4 p.
artikel
8 An on-chip localized surface plasmon resonance-based biosensor for label-free monitoring of antigen–antibody reaction Huang, Chengjun
2009
12 p. 2437-2441
5 p.
artikel
9 An optical study of alumina films thermal evolution upon ammonia annealing Bellandi, Enrico
2008
12 p. 2406-2410
5 p.
artikel
10 A parameter study on the micro hot-embossing process of glassy polymer for pattern replication Lan, Shuhuai
2009
12 p. 2369-2374
6 p.
artikel
11 A pixel-based regularization approach to inverse lithography Poonawala, Amyn
2007
12 p. 2837-2852
16 p.
artikel
12 A study on the correlation between electrochemical corrosion and chemical mechanical polishing performance of W and Ti film Seo, Yong-Jin
2007
12 p. 2769-2774
6 p.
artikel
13 Author Index - EMRS H 2008
12 p. 2459-2463
5 p.
artikel
14 Automatic full quantum analysis of CV measurements for bulk and SOI devices Charbonnier, M.
2011
12 p. 3404-3406
3 p.
artikel
15 Bio/chemical detection in liquid with self-sensing Pr-Oxi-Lever (piezo-resistive SiO2 cantilever) sensors Chen, Ying
2010
12 p. 2468-2474
7 p.
artikel
16 Bond pad surface quality for reliable wire bonding Knotter, D. Martin
2011
12 p. 3452-3458
7 p.
artikel
17 Carrier transport mechanism in La-incorporated high-k dielectric/metal gate stack MOSFETs Kwon, Hyuk-Min
2011
12 p. 3399-3403
5 p.
artikel
18 Characterization of device performance and reliability of high performance Ge-on-Si field-effect transistor Choi, Won-Ho
2011
12 p. 3424-3427
4 p.
artikel
19 Charging effects in CdSe nanocrystals embedded in SiO2 matrix produced by rf magnetron sputtering Levichev, S.
2008
12 p. 2374-2377
4 p.
artikel
20 Charging simulations in nanocrystal quantum flash memories Leroy, Y.
2008
12 p. 2354-2357
4 p.
artikel
21 Chemical mechanical planarization operation via dynamic programming Lin, Chia-Shui
2007
12 p. 2817-2831
15 p.
artikel
22 Chemical vapor deposition of chalcogenide materials for phase-change memories Abrutis, A.
2008
12 p. 2338-2341
4 p.
artikel
23 CMOS on dual SOI thickness for optimal performance Lo, H.C.
2010
12 p. 2531-2534
4 p.
artikel
24 Compact modeling of 0.35μm SOI CMOS technology node for 4K DC operation using Verilog-A Akturk, A.
2010
12 p. 2518-2524
7 p.
artikel
25 Comparison of different surface orientation in narrow fin MuGFETs Lee, Chi-Woo
2009
12 p. 2381-2384
4 p.
artikel
26 Contents Continued... 2010
12 p. II-
1 p.
artikel
27 Continuous roll-to-flat thermal imprinting process for large-area micro-pattern replication on polymer substrate Lan, Shuhuai
2010
12 p. 2596-2601
6 p.
artikel
28 Controlling resist thickness and etch depth for fabrication of 3D structures in electron-beam grayscale lithography Kim, J.
2007
12 p. 2859-2864
6 p.
artikel
29 Control of sonoluminescence signal in deionized water using carbon dioxide Kumari, S.
2011
12 p. 3437-3441
5 p.
artikel
30 Damascene TiN–Gd2O3-gate stacks: Gentle fabrication and electrical properties Endres, Ralf
2011
12 p. 3393-3398
6 p.
artikel
31 Dependence of electrical properties on interfacial layer of Ta2O5 films Lee, Jae-Woong
2007
12 p. 2865-2868
4 p.
artikel
32 Detailed analysis of charge pumping and I d V g hysteresis for profiling traps in SiO2/HfSiO(N) Sahhaf, S.
2010
12 p. 2614-2619
6 p.
artikel
33 Development of large area nano imprint technology by step and repeat process and pattern stitching technique Cho, Youngtae
2009
12 p. 2417-2422
6 p.
artikel
34 Dielectric constant of barium titanate/cyanoethyl ester of polyvinyl alcohol composite in comparison with the existing theoretical models Kota, Ravikiran
2007
12 p. 2853-2858
6 p.
artikel
35 Direct stamp fabrication for NIL and hot embossing using HSQ Gadegaard, N.
2007
12 p. 2785-2789
5 p.
artikel
36 Dispersion improvement of unipolar resistive switching Ni/Cu x O/Cu device by bipolar operation method Liu, Chih-Yi
2010
12 p. 2504-2507
4 p.
artikel
37 Dynamical modeling of transport in MOS structures containing silicon nanocrystals for memory applications Carreras, Josep
2008
12 p. 2378-2381
4 p.
artikel
38 Effect of interfacial oxide on Ge MOSCAP and N-MOSFET characteristics Kuzum, Duygu
2011
12 p. 3428-3431
4 p.
artikel
39 Effect of organic acids on copper chemical mechanical polishing Wu, Yung-Fu
2007
12 p. 2790-2798
9 p.
artikel
40 Effects of the Ag content on the geometrical and electrical characteristics of the screen-printed etched gate electrodes of OTFTs using Ag ink Lee, Mi-Young
2010
12 p. 2577-2581
5 p.
artikel
41 Electrical analysis of organic dye-based MIS Schottky contacts Güllü, Ö.
2010
12 p. 2482-2487
6 p.
artikel
42 Electrical properties of Pb(Zr,Ti)O3 films prepared on ITO glass Hwang, Hyun-Suk
2008
12 p. 2456-2458
3 p.
artikel
43 Electric-pulse-induced resistive switching and possible superconductivity in the Mott insulator GaTa4Se8 Vaju, C.
2008
12 p. 2430-2433
4 p.
artikel
44 Electrochemical polishing of ITO films Wu, Yung-Fu
2010
12 p. 2549-2554
6 p.
artikel
45 Electrodynamically actuated on-chip flow cytometry with low shear stress for electro-osmosis based sorting using low conductive medium Valagerahally Puttaswamy, Srinivasu
2010
12 p. 2582-2591
10 p.
artikel
46 Electromigration in electroplated Cu(Ag) alloy thin films investigated by means of single damascene Blech structures Strehle, S.
2009
12 p. 2396-2403
8 p.
artikel
47 Electronic structure and magnetic properties of Ca3Co2O6 cobaltites: Intrachain magnetic ordering Stoeffler, Daniel
2008
12 p. 2451-2455
5 p.
artikel
48 Electronic structure at interfaces of cubic Gd2O3 with embedded Si nanocrystals Badylevich, M.
2008
12 p. 2382-2384
3 p.
artikel
49 Electronic structure of Pt/HfO2 interface with oxygen vacancy Cho, Eunae
2011
12 p. 3407-3410
4 p.
artikel
50 Erratum to: “Temperature effects of pad conditioning process on oxide CMP: Polishing pad, slurry characteristics, and surface reactions” [Microelect. Eng. 83 (2006) 362–370] Kim, Nam-Hoon
2007
12 p. 2927-
1 p.
artikel
51 Evaluation of Cu-bumps with lead-free solders for flip-chip package applications Lin, Kung-Liang
2009
12 p. 2392-2395
4 p.
artikel
52 Evaluation of electrical and optical properties of indium tin oxide thin film using chemical mechanical polishing technique Seo, Yong-Jin
2007
12 p. 2896-2900
5 p.
artikel
53 Evaluation of HfAlO high-k materials for control dielectric applications in non-volatile memories Molas, G.
2008
12 p. 2393-2399
7 p.
artikel
54 Experimental study on ultrasonic stress relief for cured SU-8 photoresist layer Du, Liqun
2010
12 p. 2555-2560
6 p.
artikel
55 Extraction of electronic parameters of Schottky diode based on an organic Orcein Aydoğan, Şakir
2010
12 p. 2525-2530
6 p.
artikel
56 Fabrication and electrical characterization of phase-change memory devices with nanoscale self-heating-channel structures Yoon, Sung-Min
2008
12 p. 2334-2337
4 p.
artikel
57 Fabrication and electrical performance of high-density arrays of nanometric silicon tips Carvalho, Edson J.
2010
12 p. 2544-2548
5 p.
artikel
58 Fabrication of cantilever arrays with nano-aperture hollow tips for parallel microplasma etching Xiang, Wei Wei
2010
12 p. 2475-2481
7 p.
artikel
59 Fabrication of large-area conductive patterns on ferrite substrate by laser micro-cladding Cai, Zhixiang
2010
12 p. 2495-2498
4 p.
artikel
60 Fabrication of microfluidic channels derived from a UV/thermally cured preceramic polymer via a soft lithographic technique Lee, Hong-Joo
2007
12 p. 2892-2895
4 p.
artikel
61 Fabrication of SiO2 microdisk arrays for optics and light trapping experiments Saraf, L.V.
2007
12 p. 2799-2803
5 p.
artikel
62 Fast backscattering parameter determination in e-beam lithography with a modified doughnut test Keil, Katja
2009
12 p. 2408-2411
4 p.
artikel
63 First-principles study of GaAs(001)-β2(2×4) surface oxidation Wang, Weichao
2011
12 p. 3419-3423
5 p.
artikel
64 Formation of aluminum tunnel pits arrayed using SU-8 masks with UV-assisted thermal imprint lithography Jang, Joo-Hee
2010
12 p. 2610-2613
4 p.
artikel
65 Growth ambient on memory characteristics in Au nanoclusters embedded in high-k dielectric as novel non-volatile memory Chan, K.C.
2008
12 p. 2385-2387
3 p.
artikel
66 Harmonics suppression investigations of pHEMT single-pole-single-throw switches using multi-gate structures Chiu, Hsien-Chin
2010
12 p. 2592-2595
4 p.
artikel
67 High density, low leakage Back-End 3D capacitors for mixed signals applications Detalle, M.
2010
12 p. 2571-2576
6 p.
artikel
68 Improved double-recessed 4H-SiC MESFETs structure with recessed source/drain drift region Zhang, Jinping
2007
12 p. 2888-2891
4 p.
artikel
69 Improvement in RF performance of 40-nm InAs-channel based HEMTs using Pt gate sinking with two-step recess processes technology Kuo, Chien-I
2010
12 p. 2625-2628
4 p.
artikel
70 Improvement of Ni/Si/4H-SiC ohmic contacts by VLS grown sub-contact layer Macháč, Petr
2010
12 p. 2499-2503
5 p.
artikel
71 Improvement of PMMA electron-beam lithography performance in metal liftoff through a poly-imide bi-layer system Yaghmaie, F.
2010
12 p. 2629-2632
4 p.
artikel
72 Infrared spectroscopy and X-ray diffraction studies on the crystallographic evolution of La2O3 films upon annealing Tsoutsou, D.
2008
12 p. 2411-2413
3 p.
artikel
73 Inside Front Cover - Editorial Board 2009
12 p. IFC-
1 p.
artikel
74 Inside Front Cover - Editorial Board 2008
12 p. IFC-
1 p.
artikel
75 Inside Front Cover - Editorial Board 2007
12 p. IFC-
1 p.
artikel
76 Inside Front Cover - Editorial Board 2010
12 p. IFC-
1 p.
artikel
77 Inside Front Cover - Editorial Board 2011
12 p. IFC-
1 p.
artikel
78 Integration of Hf x Ta y N metal gate with SiO2 and HfO x N y gate dielectrics for MOS device applications Yang, Chang-Ta
2007
12 p. 2916-2920
5 p.
artikel
79 Integration of organic based Schottky junctions for crossbar non-volatile memory applications Katsia, E.
2008
12 p. 2439-2441
3 p.
artikel
80 Interpretation of texture changes during self-annealing of electroplated copper Zhang, W.Q.
2010
12 p. 2488-2494
7 p.
artikel
81 Investigation of pattern coating on mould roller in roller-reversal imprint process Jiang, Weitao
2009
12 p. 2412-2416
5 p.
artikel
82 Investigations of thermal annealing effects on electrical and structural properties of SrTaO based MIM capacitor Kaynak, C. Baristiran
2010
12 p. 2561-2564
4 p.
artikel
83 KFM detection of charges injected by AFM into a thin SiO2 layer containing Si nanocrystals Dumas, C.
2008
12 p. 2358-2361
4 p.
artikel
84 Large area pattern replication by nanoimprint lithography for LCD–TFT application Kim, Jeong-Gil
2009
12 p. 2427-2431
5 p.
artikel
85 Lateral SbTeN based multi-layer phase change memory for multi-state storage Yin, You
2007
12 p. 2901-2906
6 p.
artikel
86 Low-temperature embossing technique for fabrication of large-area polymeric microlens array with supercritical carbon dioxide Wu, Jing-Tang
2010
12 p. 2620-2624
5 p.
artikel
87 Magnetotransport characterization of surface-treated InP/InGaAs heterojunction bipolar transistors Oxland, Richard K.
2009
12 p. 2432-2436
5 p.
artikel
88 Memory characteristics of MOSFET with silicon nanoclusters formed using a pulse-type gas-feeding technique in the LPCVD system Kim, Eunkyeom
2008
12 p. 2370-2373
4 p.
artikel
89 Microfabrication of a nickel mold insert by a modified deep X-ray lithography process and its application to hot embossing Lee, Bong-Kee
2010
12 p. 2449-2455
7 p.
artikel
90 Microstructure and electric property of MgO/Fe/MgO tri-layer films forming a nano-granular system Arita, M.
2008
12 p. 2445-2450
6 p.
artikel
91 Modeling the behavior of charge carrier mobility with temperature in thin-film polymeric transistors Estrada, M.
2010
12 p. 2565-2570
6 p.
artikel
92 MOS capacitors obtained by wet oxidation of n-type 4H–SiC pre-implanted with nitrogen Poggi, A.
2007
12 p. 2804-2809
6 p.
artikel
93 Multilayers of Ge nanocrystals embedded in Al2O3 matrix: Structural and electrical studies Pinto, S.R.C.
2010
12 p. 2508-2512
5 p.
artikel
94 Multilevel charge storage in Si nanocrystals arranged in double-dot-layers within SiO2 Theodoropoulou, M.
2008
12 p. 2362-2365
4 p.
artikel
95 New materials of spin-on organic hardmask for sub-70nm devices Choi, Sang-Jun
2007
12 p. 2832-2836
5 p.
artikel
96 New selector based on zinc oxide grown by low temperature atomic layer deposition for vertically stacked non-volatile memory devices Huby, N.
2008
12 p. 2442-2444
3 p.
artikel
97 Nonvolatile memory characteristics of metallic nanodots as charge-storage nodes Kim, Eunkyeom
2008
12 p. 2366-2369
4 p.
artikel
98 Optical proximity correction for a versatile LCD based direct write maskless photoplotter Kessels, M.V.
2009
12 p. 2385-2391
7 p.
artikel
99 Organic memory cells based on the switching by nanoparticles containing thin films Lee, Seok Jae
2008
12 p. 2388-2392
5 p.
artikel
100 Origin of electron mobility enhancement in (111)-oriented InGaAs channel metal–insulator–semiconductor field-effect-transistors Miyata, Noriyuki
2011
12 p. 3459-3461
3 p.
artikel
101 Parametric optimization of micro-contact printing based thermal transfer of electrospun nanofibers Liu, Jun
2010
12 p. 2513-2517
5 p.
artikel
102 Phase change materials and their application to random access memory technology Raoux, Simone
2008
12 p. 2330-2333
4 p.
artikel
103 Photoconductivity of Hf-based binary metal oxides Shamuilia, S.
2008
12 p. 2400-2402
3 p.
artikel
104 Polishing behaviors of single crystalline ceria abrasives on silicon dioxide and silicon nitride CMP Oh, Myoung-Hwan
2010
12 p. 2633-2637
5 p.
artikel
105 Porous alumina nano-membranes: Soft replica molding for large area UV-nanoimprint lithography Zhou, Weimin
2009
12 p. 2375-2380
6 p.
artikel
106 Post-process thermal treatment for microwave power improvement of AlGaN/GaN HEMTs Peng, M.Z.
2010
12 p. 2638-2641
4 p.
artikel
107 Preface to Symposium H: Materials and emerging technologies for non-volatile-memory devices Wouters, Drik J.
2008
12 p. 2329-
1 p.
artikel
108 Process compatible silicon–germanium–antimony heating layer for high density phase-change memory applications Lee, Seung-Yun
2008
12 p. 2342-2345
4 p.
artikel
109 Replication of high ordered nano-sphere array by nanoimprint lithography Hong, Sung-Hoon
2009
12 p. 2423-2426
4 p.
artikel
110 Resistance switching in amorphous and crystalline binary oxides grown by electron beam evaporation and atomic layer deposition Spiga, S.
2008
12 p. 2414-2419
6 p.
artikel
111 Resistive switching effects of HfO2 high-k dielectric Chan, M.Y.
2008
12 p. 2420-2424
5 p.
artikel
112 Reviewers Acknowledgment 2011
12 p. I-III
nvt p.
artikel
113 Silicon nanowire NVM cell using high-k dielectric charge storage layer Zhu, X.
2008
12 p. 2403-2405
3 p.
artikel
114 Simulation and fabrication of high voltage AlGaN/GaN based Schottky diodes with field plate edge termination Remashan, K.
2007
12 p. 2907-2915
9 p.
artikel
115 Si-nanoclusters embedded into epitaxial rare earth oxides: Potential candidate for nonvolatile memory applications Laha, Apurba
2008
12 p. 2350-2353
4 p.
artikel
116 Some issues in advanced CMOS gate stack performance and reliability Li, Ming-Fu
2011
12 p. 3377-3384
8 p.
artikel
117 Source/drain extension region engineering in nanoscale double gate SOI MOSFETs: Novel design methodology for low-voltage analog applications Kranti, Abhinav
2007
12 p. 2775-2784
10 p.
artikel
118 Stabilized filling simulation of microchip encapsulation process Wang, Hui
2010
12 p. 2602-2609
8 p.
artikel
119 Stackable nonvolatile memory with ultra thin polysilicon film and low-leakage (Ti,Dy) x O y for low processing temperature and low operating voltages Lee, Jaegoo
2011
12 p. 3462-3465
4 p.
artikel
120 Stacked field effect transistor integration in double channel transistors (DCT) with tri-state transfer slope and ballistic field effect behavior Wirbeleit, Frank
2010
12 p. 2456-2462
7 p.
artikel
121 Statistical constraints in nanocrystal memory scaling Gusmeroli, Riccardo
2007
12 p. 2869-2874
6 p.
artikel
122 Study of interaction between silicon surfaces in dilute ammonia peroxide mixtures (APM) and their components using atomic force microscope (AFM) Siddiqui, S.
2011
12 p. 3442-3447
6 p.
artikel
123 Study of the interfaces in resistive switching NiO thin films deposited by both ALD and e-beam coupled with different electrodes (Si, Ni, Pt, W, TiN) Lamperti, A.
2008
12 p. 2425-2429
5 p.
artikel
124 Table of Contents 2008
12 p. iii-iv
nvt p.
artikel
125 Table of Contents 2011
12 p. v-vi
nvt p.
artikel
126 The dry etching of TEOS oxide for poly-Si cantilevers in supercritical CO2 Jung, Jae Mok
2011
12 p. 3448-3451
4 p.
artikel
127 The effect of pulsed laser annealing on the nickel silicide formation Chen, Hou-Yu
2010
12 p. 2540-2543
4 p.
artikel
128 The effect of sputtered W-based carbide diffusion barriers on the thermal stability and void formation in copper thin films Xie, Qi
2010
12 p. 2535-2539
5 p.
artikel
129 The electrical characteristics of Sn/methyl-red/p-type Si/Al contacts Aydın, M.E.
2007
12 p. 2875-2882
8 p.
artikel
130 The properties of high-k gate dielectric films deposited on HRSOI Cheng, Xinhong
2009
12 p. 2404-2407
4 p.
artikel
131 Thermal characteristics evaluation for board-level high performance flip-chip package equipped with vapor chamber as heat spreader Wang, Tong Hong
2010
12 p. 2463-2467
5 p.
artikel
132 Thermal stress effects of Ge2Sb2Te5 phase change material: Irreversible modification with Ti adhesion layers and segregation of Te Chen, K.N.
2008
12 p. 2346-2349
4 p.
artikel
133 Three-dimensional optical data storage through multi-photon confocal microscopy and imaging Dallari, William
2011
12 p. 3466-3469
4 p.
artikel
134 Tuning the dielectric properties of hafnium silicate films Fachmann, Christian
2007
12 p. 2883-2887
5 p.
artikel
135 Vertically stacked non-volatile memory devices – material considerations Godlewski, M.
2008
12 p. 2434-2438
5 p.
artikel
136 Vertical poly-Si select pn-diodes for emerging resistive non-volatile memories Golubović, D.S.
2007
12 p. 2921-2926
6 p.
artikel
137 Water management on semiconductor surfaces Le Tiec, Y.
2011
12 p. 3432-3436
5 p.
artikel
138 Wavelet monitoring of spatial surface roughness for plasma diagnosis Kim, Byungwhan
2007
12 p. 2810-2816
7 p.
artikel
                             138 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland