nr |
titel |
auteur |
tijdschrift |
jaar |
jaarg. |
afl. |
pagina('s) |
type |
1 |
A compact wide band filter based on the left handed material theory
|
Huang, C. |
|
2008 |
|
10 |
p. 2183-2186 4 p. |
artikel |
2 |
A direct-write microfluidic fabrication process for CMOS-based Lab-on-Chip applications
|
Ghafar-Zadeh, Ebrahim |
|
2009 |
|
10 |
p. 2104-2109 6 p. |
artikel |
3 |
A double-layer current conduction model for high-κ gate dielectric materials with interfacial oxide or silicate layer
|
Filip, V. |
|
2006 |
|
10 |
p. 1950-1956 7 p. |
artikel |
4 |
A DRAM compatible Cu contact using self-aligned Ta-silicide and Ta-barrier
|
Zhao, C. |
|
2008 |
|
10 |
p. 2009-2012 4 p. |
artikel |
5 |
Air gap formation by UV-assisted decomposition of CVD material
|
Pantouvaki, M. |
|
2008 |
|
10 |
p. 2071-2074 4 p. |
artikel |
6 |
Analysis of Cu/Low-k structure under back end of line process
|
Chiu, C.C. |
|
2008 |
|
10 |
p. 2150-2154 5 p. |
artikel |
7 |
Analysis of nitride storage non-volatile memories with HfSiO x blocking dielectric and TiN metal gate for low power embedded applications
|
Golubović, Dušan S. |
|
2009 |
|
10 |
p. 1999-2004 6 p. |
artikel |
8 |
Analysis of the line pattern width and exposure efficiency in maskless lithography using a digital micromirror device
|
Ryoo, Hoonchul |
|
2011 |
|
10 |
p. 3145-3149 5 p. |
artikel |
9 |
Analytical model for the high-temperature behaviour of the subthreshold slope in MuGFETs
|
Lee, Chi-Woo |
|
2009 |
|
10 |
p. 2067-2071 5 p. |
artikel |
10 |
An efficient wet-cleaning of SiGe virtual substrates and of thick, pure Ge layers on Si(001) after a chemical mechanical planarization step
|
Abbadie, A. |
|
2006 |
|
10 |
p. 1986-1993 8 p. |
artikel |
11 |
A new ultrasonic precise bonding method with ultrasound propagation feedback for polymer MEMS
|
Sun, Yibo |
|
2011 |
|
10 |
p. 3049-3053 5 p. |
artikel |
12 |
A novel fabrication of polymer film with tapered sub-wavelength structures for anti-reflection
|
Wu, Jing-Tang |
|
2010 |
|
10 |
p. 1951-1954 4 p. |
artikel |
13 |
Application of VUV irradiation to promote the wet etch resistance of PSZ-SOG film inside the gap
|
Chen, Chia-Ming |
|
2010 |
|
10 |
p. 1927-1931 5 p. |
artikel |
14 |
A printing technology combining screen-printing with a wet-etching process for the gate electrodes of organic thin film transistors on a plastic substrate
|
Lee, Mi-Young |
|
2010 |
|
10 |
p. 1922-1926 5 p. |
artikel |
15 |
Arrays of discrete atomic beams for sub-λ/2 lithography via dipole force
|
Alti, Kamlesh |
|
2006 |
|
10 |
p. 1975-1980 6 p. |
artikel |
16 |
A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum
|
Passi, Vikram |
|
2010 |
|
10 |
p. 1872-1878 7 p. |
artikel |
17 |
A study on three-dimensional electrode arrays fabricated by PolyMUMPs® for AC electro-osmotic pumping
|
Guo, Xin |
|
2011 |
|
10 |
p. 3113-3118 6 p. |
artikel |
18 |
Atom probe tomography of Ni silicides: First stages of reaction and redistribution of Pt
|
Mangelinck, D. |
|
2008 |
|
10 |
p. 1995-1999 5 p. |
artikel |
19 |
Barrier and seed layer coverage in 3D structures with different aspect ratios using sputtering and ALD processes
|
Lühn, O. |
|
2008 |
|
10 |
p. 1947-1951 5 p. |
artikel |
20 |
Characterization and analysis of two-dimensional GaAs-based photonic crystal nanocavities at room temperature
|
Peng, Y.S. |
|
2010 |
|
10 |
p. 1834-1837 4 p. |
artikel |
21 |
Characterization of copper grain growth limitations inside narrow wires depending of overburden thickness
|
Dubreuil, O. |
|
2008 |
|
10 |
p. 1988-1991 4 p. |
artikel |
22 |
Characterization of developing source/drain current of carbon nanotube field-effect transistors with n-doping by polyethylene imine
|
Chang-Jian, Shiang-Kuo |
|
2010 |
|
10 |
p. 1973-1977 5 p. |
artikel |
23 |
Characterization of low-k SiOCH dielectric for 45nm technology and link between the dominant leakage path and the breakdown localization
|
Vilmay, M. |
|
2008 |
|
10 |
p. 2075-2078 4 p. |
artikel |
24 |
Characterization of Ni/Ho and Ni/Er fully silicided metal gates on SiO2 gate dielectric
|
Wang, Bao-Min |
|
2008 |
|
10 |
p. 2032-2036 5 p. |
artikel |
25 |
Characterization of reactive ion etching of benzocyclobutente in SF6/O2 plasmas
|
Chen, Qianwen |
|
2010 |
|
10 |
p. 1945-1950 6 p. |
artikel |
26 |
Characterization of transient currents in HfO2 capacitors in the short timescale
|
Monzio Compagnoni, Christian |
|
2006 |
|
10 |
p. 1927-1930 4 p. |
artikel |
27 |
Characterization of ZrO2 thin films deposited by MOCVD for high-density 3D capacitors
|
Brunet, Magali |
|
2009 |
|
10 |
p. 2034-2037 4 p. |
artikel |
28 |
Chemical bonds in damaged and pristine low-κ materials: A comparative EELS study
|
Stegmann, Heiko |
|
2008 |
|
10 |
p. 2169-2171 3 p. |
artikel |
29 |
Chemical modification of carbon nanotube for improvement of field emission property
|
Lee, Sunwoo |
|
2009 |
|
10 |
p. 2110-2113 4 p. |
artikel |
30 |
Chemo-rheological characteristics of a self-assembling anisotropic conductive adhesive system containing a low-melting point solder
|
Baek, Ji-Won |
|
2010 |
|
10 |
p. 1968-1972 5 p. |
artikel |
31 |
CMOS optoelectronic components for clock distribution
|
Pownall, Robert |
|
2010 |
|
10 |
p. 1838-1845 8 p. |
artikel |
32 |
Compressed-carbon dioxide (CO2) assisted nanoimprint lithography using polymeric mold
|
Choi, Won Mook |
|
2006 |
|
10 |
p. 1957-1960 4 p. |
artikel |
33 |
Computational analysis of the interfacial effect on electromigration in flip chip solder joints
|
Kim, Dongchoul |
|
2009 |
|
10 |
p. 2132-2137 6 p. |
artikel |
34 |
Computational and experimental investigation on the performance characteristics of the micro gas compressor
|
Yoon, Jae Sung |
|
2009 |
|
10 |
p. 1975-1982 8 p. |
artikel |
35 |
Contents Continued
|
|
|
2009 |
|
10 |
p. II- 1 p. |
artikel |
36 |
Contents continued
|
|
|
2010 |
|
10 |
p. III-IV nvt p. |
artikel |
37 |
Contents Continued
|
|
|
2006 |
|
10 |
p. 2032- 1 p. |
artikel |
38 |
Controlling the formation of nanoparticles for definite growth of carbon nanotubes for interconnect applications
|
Hermann, Sascha |
|
2008 |
|
10 |
p. 1979-1983 5 p. |
artikel |
39 |
Cracking energy estimation of ultra low-k package using novel prediction approach combined with global–local modeling technique
|
Lee, Chang-Chun |
|
2008 |
|
10 |
p. 2079-2084 6 p. |
artikel |
40 |
Cu contact on NiSi substrate with a Ta/TaN barrier stack
|
Zhou, Mi |
|
2008 |
|
10 |
p. 2028-2031 4 p. |
artikel |
41 |
Cu grain growth in interconnects trenches – Experimental characterization of the overburden effect
|
Carreau, V. |
|
2008 |
|
10 |
p. 2133-2136 4 p. |
artikel |
42 |
Damage predictions in a chip resistor solder joint on flexible circuit board
|
Rizvi, M.J. |
|
2010 |
|
10 |
p. 1889-1895 7 p. |
artikel |
43 |
Dense SiOC cap for damage-less ultra low k integration with direct CMP in C45 architecture and beyond
|
Chapelon, L.L. |
|
2008 |
|
10 |
p. 2098-2101 4 p. |
artikel |
44 |
Determination of the electronic parameters of nanostructure SnO2/p-Si diode
|
Caglar, Yasemin |
|
2009 |
|
10 |
p. 2072-2077 6 p. |
artikel |
45 |
Development of a very large-area ultraviolet imprint lithography process
|
Kim, Ki-don |
|
2009 |
|
10 |
p. 1983-1988 6 p. |
artikel |
46 |
Development of capillaries for wire bonding of low-k ultra-fine-pitch devices
|
Goh, K.S. |
|
2006 |
|
10 |
p. 2009-2014 6 p. |
artikel |
47 |
Diffusional creep induced stress relaxation in thin Cu films on silicon
|
Chocyk, D. |
|
2008 |
|
10 |
p. 2179-2182 4 p. |
artikel |
48 |
Diffusion barrier properties of TaN x films prepared by plasma enhanced atomic layer deposition from PDMAT with N2 or NH3 plasma
|
Xie, Qi |
|
2008 |
|
10 |
p. 2059-2063 5 p. |
artikel |
49 |
Distributed transmission line phase shifter using parallel-plate ferroelectric thin film varactors
|
Sheng, Su |
|
2010 |
|
10 |
p. 1932-1934 3 p. |
artikel |
50 |
Double channel field effect transistors (DCT) and demonstration of static single transistor bit cell
|
Wirbeleit, Frank |
|
2010 |
|
10 |
p. 1992-1996 5 p. |
artikel |
51 |
Editorial Board
|
|
|
2006 |
|
10 |
p. CO2- 1 p. |
artikel |
52 |
Effect of Ag micro-particles content on the mechanical strength of the interface formed between Sn–Zn binary solder and Au/Ni/Cu bond pads
|
Das, S.K. |
|
2009 |
|
10 |
p. 2086-2093 8 p. |
artikel |
53 |
Effect of a surface pre-treatment on graphene growth using a SiC substrate
|
Seo, Jun-Ho |
|
2010 |
|
10 |
p. 2002-2007 6 p. |
artikel |
54 |
Effect of electronic flame off parameters on copper bonding wire: Free-air ball deformability, heat affected zone length, heat affected zone breaking force
|
Hang, C.J. |
|
2009 |
|
10 |
p. 2094-2103 10 p. |
artikel |
55 |
Effect of H2O evolving from TEOS based SiO2 film on the EEPROM cell characteristic
|
Lee, Junghwan |
|
2006 |
|
10 |
p. 2001-2003 3 p. |
artikel |
56 |
Effect of pressure on efficiency of UV curing of CVD-derived low-k material at different wavelengths
|
Prager, L. |
|
2008 |
|
10 |
p. 2094-2097 4 p. |
artikel |
57 |
Effect of series resistance on the electrical characteristics and interface state energy distributions of Sn/p-Si (MS) Schottky diodes
|
Karataş, Şükrü |
|
2010 |
|
10 |
p. 1935-1940 6 p. |
artikel |
58 |
Effect of wet chemical substrate pretreatment on the growth behavior of Ta(N) films deposited by thermal ALD
|
Strehle, S. |
|
2008 |
|
10 |
p. 2064-2067 4 p. |
artikel |
59 |
Effects of nitrogen incorporation by plasma immersion ion implantation on electrical characteristics of high-k gated MOS devices
|
Fu, Chung-Hao |
|
2010 |
|
10 |
p. 2014-2018 5 p. |
artikel |
60 |
Electrochemical behavior of copper and cobalt in post-etch cleaning solutions
|
Bilouk, S. |
|
2009 |
|
10 |
p. 2038-2044 7 p. |
artikel |
61 |
Electrodepositing amorphous Ni-W alloys for MEMS
|
Wang, Hong |
|
2010 |
|
10 |
p. 1901-1906 6 p. |
artikel |
62 |
Electronic transport properties in copper nanowire
|
Mohammadzadeh, Saeideh |
|
2008 |
|
10 |
p. 1992-1994 3 p. |
artikel |
63 |
Enhancement of light output power of GaN-based vertical light emitting diodes by optimizing n-GaN thickness
|
Jeong, Hwan Hee |
|
2011 |
|
10 |
p. 3164-3167 4 p. |
artikel |
64 |
Environmentally sustainable composite resistors with low temperature coefficient of resistance
|
Jagtap, Shweta |
|
2009 |
|
10 |
p. 2026-2029 4 p. |
artikel |
65 |
Erratum to “Infrared spectroscopy and X-ray diffraction studies on the crystallographic evolution of La2O3 films upon annealing” Microelectronic Engineering 85 (2008) 2411–2413
|
Tsoutsou, D. |
|
2009 |
|
10 |
p. 2138- 1 p. |
artikel |
66 |
Erratum to “Morphological influence of the beam overlap in focused ion beam induced deposition using raster scan” [Microelectron. Eng. 87 (5–8) (2010) 972–976]
|
Kim, Chung-Soo |
|
2010 |
|
10 |
p. 2024- 1 p. |
artikel |
67 |
Evaluation of plasma damage in ultra-low-k materials with cap film using “extracted k-value” method
|
Takahashi, Hyoh |
|
2008 |
|
10 |
p. 2107-2110 4 p. |
artikel |
68 |
Experiment-based estimation of point spread function in electron-beam lithography: Forward-scattering part
|
Dai, Q. |
|
2011 |
|
10 |
p. 3054-3061 8 p. |
artikel |
69 |
Exposure parameters for MeV proton beam writing on SU-8
|
Auzelyte, Vaida |
|
2006 |
|
10 |
p. 2015-2020 6 p. |
artikel |
70 |
Extensive investigations of temperature influence on barrier integrity during reliability testing
|
Aubel, O. |
|
2008 |
|
10 |
p. 2042-2046 5 p. |
artikel |
71 |
Fabrication and characterization of bilayer metal wire-grid polarizer using nanoimprint lithography on flexible plastic substrate
|
Meng, Fantao |
|
2011 |
|
10 |
p. 3108-3112 5 p. |
artikel |
72 |
Fabrication and characterization of p–n junctions based on ZnO and CuPc
|
Gupta, R.K. |
|
2011 |
|
10 |
p. 3067-3069 3 p. |
artikel |
73 |
Fabrication and characterization of surface micromachined stacked transformer on glass substrate
|
Yunas, Jumril |
|
2009 |
|
10 |
p. 2020-2025 6 p. |
artikel |
74 |
Fabrication and characterization of Zr-rich Zr-aluminate films for high-κ gate dielectric applications
|
Li, Yuanpeng |
|
2006 |
|
10 |
p. 1905-1911 7 p. |
artikel |
75 |
Fabrication of CNTs/Cu composite thin films for interconnects application
|
Liu, Ping |
|
2008 |
|
10 |
p. 1984-1987 4 p. |
artikel |
76 |
Fabrication of periodic square arrays by angle-resolved nanosphere lithography
|
Lee, Kwang Hong |
|
2010 |
|
10 |
p. 1941-1944 4 p. |
artikel |
77 |
Fabrication of submicron photon sieve using E-beam lithography and X-ray lithography
|
Jiang, Wenbo |
|
2011 |
|
10 |
p. 3178-3181 4 p. |
artikel |
78 |
Fabrication of surface plasmon waveguides and integrated components on Cytop
|
Daviau, Richard |
|
2010 |
|
10 |
p. 1914-1921 8 p. |
artikel |
79 |
Facilitating intermetallic formation in wire bonding by applying a pre-ultrasonic energy
|
Xu, H. |
|
2011 |
|
10 |
p. 3155-3157 3 p. |
artikel |
80 |
Flexible embedded circuitry: A novel process for high density, cost effective electronics
|
van den Brand, Jeroen |
|
2010 |
|
10 |
p. 1861-1867 7 p. |
artikel |
81 |
Formation of Ge nanocrystals in a silicon dioxide layer using pulsed plasma-immersion ion implantation
|
Kim, Young Min |
|
2009 |
|
10 |
p. 2045-2048 4 p. |
artikel |
82 |
Formation of lateral thin-film 700-V insulated-gate bipolar transistors by using retrograde p-well double implantation scheme
|
Juang, M.-H. |
|
2011 |
|
10 |
p. 3119-3122 4 p. |
artikel |
83 |
Formation speed of atomically flat surface on Si (100) in ultra-pure argon
|
Li, Xiang |
|
2011 |
|
10 |
p. 3133-3139 7 p. |
artikel |
84 |
Frequency and temperature dependence of the dielectric and AC electrical conductivity in (Ni/Au)/AlGaN/AlN/GaN heterostructures
|
Arslan, Engin |
|
2010 |
|
10 |
p. 1997-2001 5 p. |
artikel |
85 |
Gate dielectric degradation in CMOS inverters
|
Martín-Martínez, J. |
|
2009 |
|
10 |
p. 2123-2126 4 p. |
artikel |
86 |
Gentle FUSI NiSi metal gate process for high-k dielectric screening
|
Gottlob, H.D.B. |
|
2008 |
|
10 |
p. 2019-2021 3 p. |
artikel |
87 |
High aspect ratio copper through-silicon-vias for 3D integration
|
Song, Chongshen |
|
2008 |
|
10 |
p. 1952-1956 5 p. |
artikel |
88 |
High aspect ratio via metallization for 3D integration using CVD TiN barrier and electrografted Cu seed
|
Druais, G. |
|
2008 |
|
10 |
p. 1957-1961 5 p. |
artikel |
89 |
High-powered thermal gel degradation evaluation on board-level HFCBGA subjected to reliability tests
|
Wang, Tong Hong |
|
2011 |
|
10 |
p. 3101-3107 7 p. |
artikel |
90 |
How to improve intrinsic and extrinsic reliability of vias by process optimization
|
Penka, Sabine |
|
2008 |
|
10 |
p. 2123-2127 5 p. |
artikel |
91 |
Impact of Al in Cu alloy interconnects on electro and stress migration reliabilities
|
Maekawa, Kazuyoshi |
|
2008 |
|
10 |
p. 2137-2141 5 p. |
artikel |
92 |
Impact of dummy metal filling strategy dedicated to inductors integrated in advanced thick copper RF BEOL
|
Pastore, C. |
|
2008 |
|
10 |
p. 1962-1966 5 p. |
artikel |
93 |
Implications of fin width scaling on variability and reliability of high-k metal gate FinFETs
|
Chabukswar, S. |
|
2010 |
|
10 |
p. 1963-1967 5 p. |
artikel |
94 |
Improvement of thermal stability of Ni silicide on N+–Si by direct deposition of group III element (Al, B) thin film at Ni/Si interface
|
Tsutsui, Kazuo |
|
2008 |
|
10 |
p. 2000-2004 5 p. |
artikel |
95 |
Improving bulk FinFET DC performance in comparison to SOI FinFET
|
Poljak, Mirko |
|
2009 |
|
10 |
p. 2078-2085 8 p. |
artikel |
96 |
Increasing bondability and ball-shear force of gold balls thermosonic bonding to flex substrates by depositing a nickel layer
|
Chuang, Cheng-Li |
|
2011 |
|
10 |
p. 3080-3086 7 p. |
artikel |
97 |
Influence of NH3 plasma treatment on chemical bonding and water adsorption of low-k SiCOH film
|
Guo, Hao-Wen |
|
2008 |
|
10 |
p. 2114-2117 4 p. |
artikel |
98 |
Influence of the channel layer thickness on electrical properties of indium zinc oxide thin-film transistor
|
Chen, Ai Hua |
|
2010 |
|
10 |
p. 2019-2023 5 p. |
artikel |
99 |
Influence of the metal electrode on the characteristics of thermal Ta2O5 capacitors
|
Atanassova, E. |
|
2006 |
|
10 |
p. 1918-1926 9 p. |
artikel |
100 |
Influences of bottom electrode TaN on electrical characteristics for metal–HfO2–metal capacitors
|
Kang, Tsung-Kuei |
|
2009 |
|
10 |
p. 1994-1998 5 p. |
artikel |
101 |
Inkjetting dielectric layer for electronic applications
|
Kaija, Kimmo |
|
2010 |
|
10 |
p. 1984-1991 8 p. |
artikel |
102 |
Inside Front Cover - Editorial Board
|
|
|
2009 |
|
10 |
p. IFC- 1 p. |
artikel |
103 |
Inside Front Cover - Editorial Board
|
|
|
2010 |
|
10 |
p. IFC- 1 p. |
artikel |
104 |
Inside Front Cover - Editorial Board
|
|
|
2008 |
|
10 |
p. IFC- 1 p. |
artikel |
105 |
Inside Front Cover - Editorial Board
|
|
|
2011 |
|
10 |
p. IFC- 1 p. |
artikel |
106 |
Integration of high-performance RF passive modules (MIM capacitors and inductors) in advanced BEOL
|
Farcy, A. |
|
2008 |
|
10 |
p. 1940-1946 7 p. |
artikel |
107 |
Interface control of conventional n-type silicon/metal by n-channel organic semiconductor
|
Yakuphanoglu, Fahrettin |
|
2010 |
|
10 |
p. 1884-1888 5 p. |
artikel |
108 |
Interface optimization for poly silicon/tungsten gates
|
Schmidbauer, Sven |
|
2008 |
|
10 |
p. 2037-2041 5 p. |
artikel |
109 |
Interfacial reaction and shear strength of SnAgCu–xNi/Ni solder joints during aging at 150°C
|
Yao, Pei |
|
2009 |
|
10 |
p. 1969-1974 6 p. |
artikel |
110 |
In vivo electrochemical impedance measurement on single cell membrane
|
Bai, Seoung-Jai |
|
2011 |
|
10 |
p. 3094-3100 7 p. |
artikel |
111 |
Kinetics of chemical vapor deposition of WSi x films from WF6 and SiH2Cl2: Effect of added H2, SiH4, and Si2H6
|
Saito, Takeyasu |
|
2006 |
|
10 |
p. 1994-2000 7 p. |
artikel |
112 |
Large area nanosize array stamp for UV-based nanoimprint lithography fabricated by size reduction process
|
Li, Xiaoli |
|
2009 |
|
10 |
p. 2015-2019 5 p. |
artikel |
113 |
Long-term stability of Ni–silicide ohmic contact to n-type 4H–SiC
|
Kuchuk, A.V. |
|
2008 |
|
10 |
p. 2142-2145 4 p. |
artikel |
114 |
Low cost, rapid fabrication of durable molds of grating arrays for nanoimprint lithography
|
Li, Hsun-Yuan |
|
2011 |
|
10 |
p. 3062-3066 5 p. |
artikel |
115 |
Mechanical reliability evaluation of Sn-37Pb solder joint using high speed lap-shear test
|
Jeon, Seong-jae |
|
2008 |
|
10 |
p. 1967-1970 4 p. |
artikel |
116 |
Micro-patterning of LiPON and lithium iron phosphate material deposited onto silicon nanopillars array for lithium ion solid state 3D micro-battery
|
Lethien, C. |
|
2011 |
|
10 |
p. 3172-3177 6 p. |
artikel |
117 |
MicroPen direct-write deposition of polyimide
|
Cao, Yu |
|
2009 |
|
10 |
p. 1989-1993 5 p. |
artikel |
118 |
Microstructural properties of thermally stable Ti/W/Au ohmic contacts on n-type GaN
|
Reddy, N. Ramesha |
|
2006 |
|
10 |
p. 1981-1985 5 p. |
artikel |
119 |
Microwave FinFET modeling based on artificial neural networks including lossy silicon substrate
|
Marinković, Zlatica |
|
2011 |
|
10 |
p. 3158-3163 6 p. |
artikel |
120 |
Molecular dynamics study on compressive strength of monocrystalline, nanocrystalline and amorphous Si mold for nanoimprint lithography
|
Tada, Kazuhiro |
|
2010 |
|
10 |
p. 1816-1820 5 p. |
artikel |
121 |
Nanoimprint lithography fabrication of waveguide-integrated optical gratings with inexpensive stamps
|
Grego, Sonia |
|
2010 |
|
10 |
p. 1846-1851 6 p. |
artikel |
122 |
Nanomechanical analyses of porous SiO2 low-dielectric-constant films for evaluation of interconnect structure reliability
|
Chang, Shou-Yi |
|
2006 |
|
10 |
p. 1940-1949 10 p. |
artikel |
123 |
Nanotwin formation and its physical properties and effect on reliability of copper interconnects
|
Xu, Di |
|
2008 |
|
10 |
p. 2155-2158 4 p. |
artikel |
124 |
N-channel thin-film transistors constructed on plastic by solution processes of HgSe nanocrystals
|
Jang, Jaewon |
|
2009 |
|
10 |
p. 2030-2033 4 p. |
artikel |
125 |
New precursors for CVD copper metallization
|
Norman, John A.T. |
|
2008 |
|
10 |
p. 2159-2163 5 p. |
artikel |
126 |
Nitrogen impurity effects on nickel silicide formation at low temperatures – New “nitrogen co-plasma” approach
|
Imbert, B. |
|
2008 |
|
10 |
p. 2005-2008 4 p. |
artikel |
127 |
On the scaling issues and high-κ replacement of ultrathin gate dielectrics for nanoscale MOS transistors
|
Wong, Hei |
|
2006 |
|
10 |
p. 1867-1904 38 p. |
artikel |
128 |
Optical, electrical and structural properties of spin-on MSQ low-k dielectrics over a wide temperature range
|
Ahner, N. |
|
2008 |
|
10 |
p. 2111-2113 3 p. |
artikel |
129 |
Optically variable watermark (OVW) microstructures for transparent substrates
|
Lee, Robert A. |
|
2006 |
|
10 |
p. 2004-2008 5 p. |
artikel |
130 |
Overview of dual damascene integration schemes in Cu BEOL integration
|
Kriz, J. |
|
2008 |
|
10 |
p. 2128-2132 5 p. |
artikel |
131 |
Oxygen chemiluminescence in He plasma as a method for plasma damage evaluation
|
Urbanowicz, A.M. |
|
2008 |
|
10 |
p. 2164-2168 5 p. |
artikel |
132 |
Performance optimizing on multi-function MMIC design
|
Tu, M.C. |
|
2009 |
|
10 |
p. 2114-2118 5 p. |
artikel |
133 |
Phosphorous doped SOG as a pre-metal-dielectric for sub-50nm technology nodes
|
Das, Arabinda |
|
2008 |
|
10 |
p. 2085-2088 4 p. |
artikel |
134 |
Photo-mask fabrication by low-energy microcolumn lithography
|
Kim, H.S. |
|
2009 |
|
10 |
p. 2049-2052 4 p. |
artikel |
135 |
Photosensitive sacrificial polymer with low residue
|
Chen, Yu-Chun |
|
2011 |
|
10 |
p. 3087-3093 7 p. |
artikel |
136 |
Physical investigation of the impact of electrolessly deposited self-aligned caps on insulation of copper interconnects
|
Olivier, S. |
|
2008 |
|
10 |
p. 2051-2054 4 p. |
artikel |
137 |
Platinum zone plates for hard X-ray applications
|
Chubarova, E. |
|
2011 |
|
10 |
p. 3123-3126 4 p. |
artikel |
138 |
Porosity generation using hydrogen plasma assisted thermal curing for ultra low k material
|
Zenasni, A. |
|
2008 |
|
10 |
p. 2102-2104 3 p. |
artikel |
139 |
Post electrochemical Cu deposition anneal impact on stress-voiding in individual vias
|
Gregoire, M. |
|
2008 |
|
10 |
p. 2146-2149 4 p. |
artikel |
140 |
Printing via hot embossing of optically variable images in thermoplastic acrylic lacquer
|
Leech, Patrick W. |
|
2006 |
|
10 |
p. 1961-1965 5 p. |
artikel |
141 |
Proceedings of the Twelfth European Workshop on Materials for Advanced Metallization 2008
|
Schulz, Stefan E. |
|
2008 |
|
10 |
p. 1937-1939 3 p. |
artikel |
142 |
Publisher’s note
|
|
|
2010 |
|
10 |
p. iii- 1 p. |
artikel |
143 |
Reducing Cu diffusion in SiCOH low-k films by O2 plasma treatment
|
Yuan, Jing |
|
2009 |
|
10 |
p. 2119-2122 4 p. |
artikel |
144 |
Relationship between wafer fracture reduction and controlling during the edge manufacturing process
|
Chen, Po-Ying |
|
2010 |
|
10 |
p. 1809-1815 7 p. |
artikel |
145 |
Ruthenium oxide metal nanocrystal capacitors with high-κ dielectric tunneling barriers for nanoscale nonvolatile memory device applications
|
Das, Atanu |
|
2010 |
|
10 |
p. 1821-1827 7 p. |
artikel |
146 |
Scaling potential of pin-type 3-D SBT ferroelectric capacitors integrated in 0.18μm CMOS technology
|
Goux, L. |
|
2006 |
|
10 |
p. 2027-2031 5 p. |
artikel |
147 |
Screening self-assembled monolayers as Cu diffusion barriers
|
Maestre Caro, A. |
|
2008 |
|
10 |
p. 2047-2050 4 p. |
artikel |
148 |
Selection of rare earth silicates for highly scaled gate dielectrics
|
Kakushima, K. |
|
2010 |
|
10 |
p. 1868-1871 4 p. |
artikel |
149 |
Self-assembled metallic nanocrystal structures for advanced non-volatile memory applications
|
Hofmann, Ralf |
|
2008 |
|
10 |
p. 1975-1978 4 p. |
artikel |
150 |
Simulation of TaN x deposition by Reactive PVD
|
Wolf, H. |
|
2010 |
|
10 |
p. 1907-1913 7 p. |
artikel |
151 |
Sputtering of Ni/Ti/SiC ohmic contacts
|
Machac, Petr |
|
2008 |
|
10 |
p. 2016-2018 3 p. |
artikel |
152 |
Stress analysis of 3-dimensional IC package as function of structural design parameters
|
Ladani, Leila J. |
|
2010 |
|
10 |
p. 1852-1860 9 p. |
artikel |
153 |
Structure analysis and property improvements of the computer-simulated fullerene-based ultralow-k dielectrics
|
Zagorodniy, K. |
|
2008 |
|
10 |
p. 2118-2122 5 p. |
artikel |
154 |
Structure and property of magnetron sputtered ternary cobalt–nickel silicide films
|
Xia, Zhou |
|
2010 |
|
10 |
p. 1828-1833 6 p. |
artikel |
155 |
Study of nano-mechanical properties for thin porous films through instrumented indentation: SiO2 low dielectric constant films as an example
|
Herrmann, M. |
|
2008 |
|
10 |
p. 2172-2174 3 p. |
artikel |
156 |
Study of Ni/Si(100) solid-state reaction with Y addition
|
Huang, Yi-Fei |
|
2008 |
|
10 |
p. 2013-2015 3 p. |
artikel |
157 |
Study of polycrystalline-Si thin-film transistors with different channel layer thickness at low bias voltage
|
Juang, Miin-Horng |
|
2010 |
|
10 |
p. 1896-1900 5 p. |
artikel |
158 |
Study of solvent penetration inside a porous low k material by neutron reflectometry – Influence of material surface modifications and of solvent properties
|
Rébiscoul, D. |
|
2008 |
|
10 |
p. 2089-2093 5 p. |
artikel |
159 |
Study of X-ray lithographic conditions for SU-8 by Fourier transform infrared spectroscopy
|
Wong, D. |
|
2006 |
|
10 |
p. 1912-1917 6 p. |
artikel |
160 |
Study on the fluid/structure interaction at different inlet pressures in molded packaging
|
Khor, C.Y. |
|
2011 |
|
10 |
p. 3182-3194 13 p. |
artikel |
161 |
Sub-5keV electron-beam lithography in hydrogen silsesquioxane resist
|
Manfrinato, Vitor R. |
|
2011 |
|
10 |
p. 3070-3074 5 p. |
artikel |
162 |
SU-8 nanocomposite photoresist with low stress properties for microfabrication applications
|
Jiguet, Sébastien |
|
2006 |
|
10 |
p. 1966-1970 5 p. |
artikel |
163 |
Table of Contents
|
|
|
2008 |
|
10 |
p. v-viii nvt p. |
artikel |
164 |
TEM investigation of Ti and Ti/Al bilayer as alternative diffusion barriers for Cu metallization for SAW device applications
|
Spindler, M. |
|
2008 |
|
10 |
p. 2055-2058 4 p. |
artikel |
165 |
Temperature dependence of Al/Ti-based Ohmic contact to GaN devices: HEMT and MOSFET
|
Fontserè, A. |
|
2011 |
|
10 |
p. 3140-3144 5 p. |
artikel |
166 |
Temperature dependent current–voltage characteristics of the Zn/ZnO/n-Si/Au–Sb structure with ZnO interface layer grown on n-Si substrate by SILAR method
|
Ali Yıldırım, M. |
|
2011 |
|
10 |
p. 3075-3079 5 p. |
artikel |
167 |
Temperature treatment of nano-scaled barium titanate filler to improve the dielectric properties of high-k polymer based composites
|
Schumacher, Benedikt |
|
2010 |
|
10 |
p. 1978-1983 6 p. |
artikel |
168 |
Template-electrodeposition preparation and structural properties of CdS nanowire arrays
|
Yang, Wenbin |
|
2006 |
|
10 |
p. 1971-1974 4 p. |
artikel |
169 |
Texture and strain in narrow copper damascene interconnect lines: An X-ray diffraction analysis
|
Kaouache, B. |
|
2008 |
|
10 |
p. 2175-2178 4 p. |
artikel |
170 |
Texture of atomic layer deposited ruthenium
|
Musschoot, J. |
|
2010 |
|
10 |
p. 1879-1883 5 p. |
artikel |
171 |
The C–V–f and G/ω–V–f characteristics of Al/SiO2/p-Si (MIS) structures
|
Tataroğlu, B. |
|
2006 |
|
10 |
p. 2021-2026 6 p. |
artikel |
172 |
The integration of alternative contact cleaning techniques for future DRAM technology nodes
|
Stavrev, Momtchil |
|
2008 |
|
10 |
p. 2025-2027 3 p. |
artikel |
173 |
The law of ultrasonic energy conversion in thermosonic flip chip bonding interfaces
|
Junhui, Li |
|
2009 |
|
10 |
p. 2063-2066 4 p. |
artikel |
174 |
The possibility of polarization recovery in fatigued ferroelectric vinylidene fluoride and trifluoroethylene copolymer films
|
Zeng, ZhiGang |
|
2008 |
|
10 |
p. 2187-2190 4 p. |
artikel |
175 |
Thermally-aware modeling and performance evaluation for single-walled carbon nanotube-based interconnects for future high performance integrated circuits
|
Hosseini, Amir |
|
2010 |
|
10 |
p. 1955-1962 8 p. |
artikel |
176 |
The UV and blue light emission properties of Mn doped ZnO nanocrystals
|
Ma, Xiying |
|
2011 |
|
10 |
p. 3168-3171 4 p. |
artikel |
177 |
The wire sweep analysis based on the evaluation of the bending and twisting moments for semiconductor packaging
|
Kung, Huang-Kuang |
|
2006 |
|
10 |
p. 1931-1939 9 p. |
artikel |
178 |
Ti and Ti/Sb ohmic contacts on n-type 6H–SiC
|
Barda, Bohumil |
|
2008 |
|
10 |
p. 2022-2024 3 p. |
artikel |
179 |
TLM method for thermal investigation of IGBT modules in PWM mode
|
Hocine, R. |
|
2009 |
|
10 |
p. 2053-2062 10 p. |
artikel |
180 |
Top-down fabrication of very-high density vertically stacked silicon nanowire arrays with low temperature budget
|
Zervas, Michael |
|
2011 |
|
10 |
p. 3127-3132 6 p. |
artikel |
181 |
Toward the integration of a single carbon nanofibre as via interconnect
|
Coiffic, J.C. |
|
2008 |
|
10 |
p. 1971-1974 4 p. |
artikel |
182 |
Transfer printing approach to all-carbon nanoelectronics
|
Sangwan, V.K. |
|
2011 |
|
10 |
p. 3150-3154 5 p. |
artikel |
183 |
Two dimensional simulation and analytical modeling of a novel ISE MOSFET with gate stack configuration
|
Kaur, Ravneet |
|
2009 |
|
10 |
p. 2005-2014 10 p. |
artikel |
184 |
Vector two-tone measurements for validation of non-linear microwave FinFET model
|
Crupi, Giovanni |
|
2010 |
|
10 |
p. 2008-2013 6 p. |
artikel |
185 |
Weight-scale, XRR and corona charge method on back-end dielectrics for 65 and 45nm technology nodes
|
Fossati, D. |
|
2008 |
|
10 |
p. 2105-2106 2 p. |
artikel |
186 |
XPS studies of the ALD-growth of TaN diffusion barriers: Impact of the dielectric surface chemistry on the growth mechanism
|
Volpi, F. |
|
2008 |
|
10 |
p. 2068-2070 3 p. |
artikel |
187 |
ZnO as a dielectric for organic thin film transistor-based non-volatile memory
|
Salim, N. Tjitra |
|
2009 |
|
10 |
p. 2127-2131 5 p. |
artikel |