Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             171 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 A 2.4 GHz low-IF receiver for wideband WLAN in 0.6μm CMOS Behbahani, Farbod
2000
1-2 p. 63-71
9 p.
artikel
2 A 2.4 GHz low-IF receiver for wideband WLAN in 0.6μm CMOS Behbahani, Farbod
2000
1-2 p. 73-83
11 p.
artikel
3 A method for hybrid lithography Owen, Geraint
1988
1-2 p. 93-103
11 p.
artikel
4 An efficient DC-gain matched balanced truncation realization for VLSI interconnect circuit order reduction Zeng, Xuan
2002
1-2 p. 3-15
13 p.
artikel
5 An excimer-laser-based nanosecond thermal diffusion technique for ultra-shallow pn junction fabrication Weiner, K.H.
1993
1-2 p. 107-130
24 p.
artikel
6 An in-situ X-ray topography observation of dislocations, crystal–melt interface and melting of silicon Wang, Yuren
2001
1-2 p. 143-146
4 p.
artikel
7 A 10 nm MOSFET concept Appenzeller, J
2001
1-2 p. 213-219
7 p.
artikel
8 A novel fast capillary discharge system emitting intense EUV radiation Mohanty, S.R
2003
1-2 p. 47-59
13 p.
artikel
9 An overview of supercritical CO2 applications in microelectronics processing Weibel, Gina L
2003
1-2 p. 145-152
8 p.
artikel
10 Application of combined thermal and electrical simulation for optimization of deep submicron interconnection systems Streiter, R
2002
1-2 p. 39-49
11 p.
artikel
11 A study on the microstructure and electrical properties of CeO2 thin films for gate dielectric applications Yoo, Jung-Ho
2001
1-2 p. 187-190
4 p.
artikel
12 A study on the Pt electrode etching for 0.15 μm technologies Kim, Hyoun-Woo
2003
1-2 p. 185-195
11 p.
artikel
13 Asynchronous circuits and systems : a promising design alternative Renaudin, M
2000
1-2 p. 133-149
17 p.
artikel
14 A system-architecture viewpoint on smart networked devices Privat, Gilles
2000
1-2 p. 193-197
5 p.
artikel
15 A thermal detachment mechanism for particle removal from surfaces by pulsed laser irradiation Kelley, J.D.
1993
1-2 p. 159-170
12 p.
artikel
16 Author index 2000
1-2 p. 209-210
2 p.
artikel
17 Author index 1999
1-2 p. 203-204
2 p.
artikel
18 Author Index Volume 60, Numbers 1–2 2002
1-2 p. 289-294
6 p.
artikel
19 Author Index Volume 56, Numbers 1-2 2001
1-2 p. 227-232
6 p.
artikel
20 A virtual 3-D fast extractor for interconnect capacitance of multiple dielectrics Yang, Zhaozhi
2003
1-2 p. 133-144
12 p.
artikel
21 Bare-board e-beam testing: The charge storage problem Brunner, M.
1988
1-2 p. 25-35
11 p.
artikel
22 Built-in self-test Zorian, Yervant
1999
1-2 p. 135-138
4 p.
artikel
23 Calendar of events 1988
1-2 p. 121-123
3 p.
artikel
24 Can Si(113) wafers be an alternative to Si(001)? Müssig, H.-J.
2001
1-2 p. 195-203
9 p.
artikel
25 Characterisation of charge trapping at the Si–SiO2 (100) interface using high-temperature conductance spectroscopy Duval, E
2003
1-2 p. 103-112
10 p.
artikel
26 Characterisation of the electroless nickel deposit as a barrier layer/under bump metallurgy on IC metallisation Rohan, James F
2003
1-2 p. 77-85
9 p.
artikel
27 Characterization of barrier/seed layer stacks of Cu interconnects by electron tomographic three-dimensional object reconstruction Stegmann, Heiko
2003
1-2 p. 171-183
13 p.
artikel
28 Characterization of porous structure in ultra-low-κ dielectrics by depositing thin conductive cap layers Iacopi, F
2003
1-2 p. 123-131
9 p.
artikel
29 Charge-transfer complexes applied to photolithography by formation of a portable conformable mask and as a contrast-enhancement layer Rosilio, C.
1988
1-2 p. 37-54
18 p.
artikel
30 Circuit failure identification using focused ion beam and transmission electron microscopy characterisation techniques Pantel, R.
1999
1-2 p. 181-189
9 p.
artikel
31 Committees 2002
1-2 p. ix-
1 p.
artikel
32 Committees 1999
1-2 p. vi-
1 p.
artikel
33 Comparison of silicon epitaxial growth on the 200- and 300-mm wafers from trichlorosilane in Centura reactors Segal, A.S.
2001
1-2 p. 93-98
6 p.
artikel
34 Comparison of techniques to characterise the density, porosity and elastic modulus of porous low-k SiO2 xerogel films Murray, C
2002
1-2 p. 133-141
9 p.
artikel
35 Concurrent checking for VLSI Nicolaidis, M.
1999
1-2 p. 139-156
18 p.
artikel
36 Contribution to the study of polysilanes for high-resolution photolithography Rosilio, C.
1988
1-2 p. 55-78
24 p.
artikel
37 Converter performances Jespers, P.
2000
1-2 p. 85-101
17 p.
artikel
38 Copper alloy formation and film properties after annealing of Al/Cu stacks in different ambients Chen, Zhitao
2002
1-2 p. 89-95
7 p.
artikel
39 Correlation between microstructure control, density and diffusion barrier properties of TiN(O) films Alberti, A.
2002
1-2 p. 81-87
7 p.
artikel
40 Cost reduction strategies for wafer expenditure Pfitzner, Lothar
2001
1-2 p. 61-71
11 p.
artikel
41 Crystal-orientation controlled epitaxial CeO2 dielectric thin films on Si(100) substrates using pulsed laser deposition Kang, Jinfeng
2001
1-2 p. 191-194
4 p.
artikel
42 Determination of electroless kinetic: a QCM study Zouhou, A
2001
1-2 p. 177-180
4 p.
artikel
43 Determination of SF6 reactive ion etching end point of the SiO2/Si system by plasma impedance monitoring Dewan, M.N.A.
2003
1-2 p. 25-46
22 p.
artikel
44 Diffusion and electrical activity of copper in Si1−x−y Ge x C y alloys Hattab, A
2002
1-2 p. 283-288
6 p.
artikel
45 3D-microstructure replication processes using UV-curable acrylates Elsner, C
2003
1-2 p. 163-170
8 p.
artikel
46 DSP-MCU processor optimization for portable applications de Dinechin, Benoit Dupont
2000
1-2 p. 123-132
10 p.
artikel
47 Editorial Zapka, W.
1993
1-2 p. 1-2
2 p.
artikel
48 Editorial Radelaar, Sybrand
1988
1-2 p. 1-
1 p.
artikel
49 Editorial Board 2003
1-2 p. ii-
1 p.
artikel
50 Editorial board 2000
1-2 p. FM2-
1 p.
artikel
51 Editorial Board 1999
1-2 p. ii-
1 p.
artikel
52 Editorial Board 1992
1-2 p. ii-
1 p.
artikel
53 Editorial Board 1993
1-2 p. ii-
1 p.
artikel
54 Editorial Board 1988
1-2 p. ii-
1 p.
artikel
55 Effect of dopants on chemical mechanical polishing of silicon Forsberg, M
2002
1-2 p. 149-155
7 p.
artikel
56 Effect of doping on point defect incorporation during silicon growth Voronkov, V.V
2001
1-2 p. 165-168
4 p.
artikel
57 Effect of mechanical process parameters on chemical mechanical polishing of Al thin films Cho, Woong
2003
1-2 p. 13-23
11 p.
artikel
58 Effect of solvent on the preparation of ambient pressure-dried SiO2 aerogel films Jung, Sang-Bae
2003
1-2 p. 113-122
10 p.
artikel
59 Effects of a Ta interlayer on the titanium silicide reaction: C40 formation and scalability of the TiSi2 process La Via, F
2002
1-2 p. 197-203
7 p.
artikel
60 Effects of substrate bias and temperature during titanium sputter-deposition on the phase formation in TiSi2 Lundqvist, N
2002
1-2 p. 211-220
10 p.
artikel
61 Effects of various magnetic field configurations on temperature distributions in Czochralski silicon melts Gräbner, O.
2001
1-2 p. 83-88
6 p.
artikel
62 Electrical testing for failure analysis: E-beam testing Vallet, Michel
1999
1-2 p. 157-167
11 p.
artikel
63 Electroless and sputtered silver–tungsten thin films for microelectronics applications Inberg, A
2003
1-2 p. 197-207
11 p.
artikel
64 Electromigration and mechanical stress Lloyd, J.R.
1999
1-2 p. 51-64
14 p.
artikel
65 Electromigration resistance of sputtered silver lines using different patterning techniques Hauder, M
2002
1-2 p. 51-57
7 p.
artikel
66 Electronic transport in Ru–Si–O and Ir–Si–O amorphous thin films Gottlieb, U.
2002
1-2 p. 107-111
5 p.
artikel
67 Electron microscopic investigation of MnSi1.7 layers on Si(001) Mogilatenko, A
2002
1-2 p. 247-254
8 p.
artikel
68 Electrostatic discharges (ESD), latch-up and pad design constraints Salome, Pascal
1999
1-2 p. 83-94
12 p.
artikel
69 Emerging oxide degradation mechanisms: Stress induced leakage current (SILC) and quasi-breakdown (QB) Ghibaudo, G.
1999
1-2 p. 41-50
10 p.
artikel
70 Enhanced stability of Ni monosilicide on MOSFETs poly-Si gate stack Lee, P.S
2002
1-2 p. 171-181
11 p.
artikel
71 Enhancement of ALCVD™ TiN growth on Si–O–C and α-SiC:H films by O2-based plasma treatments Satta, A
2002
1-2 p. 59-69
11 p.
artikel
72 Enhancement of gettering efficiencies of different silicon substrates during a 0.18 μm LTB CMOS process simulation – Hoelzl, R.
2001
1-2 p. 153-156
4 p.
artikel
73 Environmentally friendly wafer production: NF3 remote microwave plasma for chamber cleaning Reichardt, H
2001
1-2 p. 73-76
4 p.
artikel
74 Epitaxial structures of GaAs/GaAlAs on Ge substrates by MOVPE for photovoltaic applications Flores, C
1992
1-2 p. 175-188
14 p.
artikel
75 Equilibrium point defect concentration in a growing silicon crystal Tanahashi, K
2001
1-2 p. 133-137
5 p.
artikel
76 Erratum to: Recent progress in EUV source development at GREMI Mohanty, Smruti Ranjan
2003
1-2 p. 247-
1 p.
artikel
77 Esterel methodology for complex system design Blanc, Lionel
2000
1-2 p. 163-170
8 p.
artikel
78 European 300 mm metrology platform — MEDEA T618 Trilhe, J
2001
1-2 p. 15-25
11 p.
artikel
79 Evaluation of mechanical stresses in silicon substrates due to lead–tin solder bumps via synchrotron X-ray topography and finite element modeling Kanatharana, J.
2003
1-2 p. 209-221
13 p.
artikel
80 Evaluations of 300 mm Si wafer performances for giga ULSI device processes Takahashi, Kenji
2001
1-2 p. 27-39
13 p.
artikel
81 Financial supports 1999
1-2 p. vii-
1 p.
artikel
82 Front-end, single-wafer diffusion processing for advanced 300-mm fabrication lines Bensahel, D
2001
1-2 p. 49-59
11 p.
artikel
83 Global model of Czochralski silicon growth to predict oxygen content and thermal fluctuations at the melt–crystal interface Evstratov, I.Yu
2001
1-2 p. 139-142
4 p.
artikel
84 Growth and stress analysis of necks for 300 mm CZ silicon single crystals Tu, H.
2001
1-2 p. 89-92
4 p.
artikel
85 Growth of InGaAs/InP quantum well structures by low-pressure metalorganic chemical vapor deposition McCrary, V.R
1992
1-2 p. 75-88
14 p.
artikel
86 Hardware software system codesign based on SDL/C specifications Moreau, Jean-Pierre
2000
1-2 p. 181-191
11 p.
artikel
87 Heterostructure field effect transistors grown by MOVPE Heuken, Michael
1992
1-2 p. 33-55
23 p.
artikel
88 Highly accurate closed form approximation for frequency-dependent line impedance of a lossy silicon substrate IC interconnect Ymeri, H
2002
1-2 p. 31-37
7 p.
artikel
89 Hot carrier degradation and time-dependent dielectric breakdown in oxides Groeseneken, G.
1999
1-2 p. 27-40
14 p.
artikel
90 Initial reactions in Ti–Si(Mo) bilayers Cocchi, R
2002
1-2 p. 231-238
8 p.
artikel
91 In situ Raman spectroscopy study on silicon surface in NH4OH/H2O2 and HCl/H2O2 aqueous solutions Wang, J.
2001
1-2 p. 221-225
5 p.
artikel
92 Integration of copper with an organic low-k dielectric in 0.12-μm node interconnect Fayolle, M
2002
1-2 p. 119-124
6 p.
artikel
93 Integration of fluorine-doped silicon oxide in copper pilot line for 0.12-μm technology Reynard, J.P
2002
1-2 p. 113-118
6 p.
artikel
94 Intrinsic gettering of 300 mm CZ wafers Bialas, F.
2001
1-2 p. 157-163
7 p.
artikel
95 Introduction to reliability Delarozée, G.
1999
1-2 p. 3-10
8 p.
artikel
96 Issues for logic CMOS integration in Systems on a Chip (SoC) Haond, M.
2000
1-2 p. 23-34
12 p.
artikel
97 Issues for the larger diameter epitaxial wafer Imai, M
2001
1-2 p. 109-115
7 p.
artikel
98 Large-scale MOVPE production systems Jürgensen, H
1992
1-2 p. 119-148
30 p.
artikel
99 Laser ablation of polymers for high-density interconnect Liu, Y.S.
1993
1-2 p. 15-29
15 p.
artikel
100 Laser-assisted particle removal from silicon surfaces Lee, S.J.
1993
1-2 p. 145-157
13 p.
artikel
101 “Laser cleaning” removes particles from surfaces Zapka, W.
1993
1-2 p. 171-183
13 p.
artikel
102 Laser processing of electrically conducting polymers into patterns Bargon, Joachim
1993
1-2 p. 55-72
18 p.
artikel
103 Laser recrystallization of polysilicon on monocrystalline insulating substrates Haisma, Jan
1988
1-2 p. 105-120
16 p.
artikel
104 Light emission microscopy for reliability studies Leroux, Charles
1999
1-2 p. 169-180
12 p.
artikel
105 Low-pressure MOVPE growth and characterization of strained-layer InGaAs-InGaAsP quantum well lasers Thijs, P.J.A
1992
1-2 p. 57-74
18 p.
artikel
106 Low resistivity ohmic contacts on 4H-silicon carbide for high power and high temperature device applications Lee, S.-K
2002
1-2 p. 261-268
8 p.
artikel
107 Luminescence lifetime of the 1.5-μm emission of β-FeSi2 precipitate layers in silicon Schuller, B
2002
1-2 p. 205-210
6 p.
artikel
108 Material and device technologies for advanced, high-performance, and radiation-hardened CMOS circuits Smeltzer, R.K.
1988
1-2 p. 79-91
13 p.
artikel
109 MBE-growth of a Ge–CoSi2–Si heterostructure for vertical metal–semiconductor–metal photodetectors Winnerl, S
2002
1-2 p. 191-196
6 p.
artikel
110 Mechanical properties of 300 mm wafers Akatsuka, M
2001
1-2 p. 99-107
9 p.
artikel
111 Microfabrication of semiconductors by means of excimer laser doping Toyoda, Koichi
1993
1-2 p. 131-143
13 p.
artikel
112 Micro/nano machining of polymeric substrates by ion beam techniques He, W
2003
1-2 p. 153-161
9 p.
artikel
113 Mixed-mode system design: VHDL-AMS Garcia Sabiro, Serge
2000
1-2 p. 171-180
10 p.
artikel
114 Modelling and simulation of reliability for design Mathewson, A.
1999
1-2 p. 95-117
23 p.
artikel
115 Modification of electrical conductivity and surface structure in polymers using ultraviolet laser radiation Phillips, H.M.
1993
1-2 p. 73-88
16 p.
artikel
116 Molecular-dynamics study of mechanical properties of nanoscale copper with vacancies under static and cyclic loading Chang, Win-Jin
2003
1-2 p. 239-246
8 p.
artikel
117 MOVPE for optoelectronics Speier, P
1992
1-2 p. 1-31
31 p.
artikel
118 MOVPE growth of (Al)GaAs on GaAs and Si for photovoltaic applications Dieter, R.J
1992
1-2 p. 189-205
17 p.
artikel
119 MOVPE in GaInAsP systems for opto-electronic applications Grützmacher, D
1992
1-2 p. 89-117
29 p.
artikel
120 Nanomechanical properties of copper thin films on different substrates using the nanoindentation technique Fang, Te-Hua
2003
1-2 p. 231-238
8 p.
artikel
121 Nanometer patterning of epitaxial CoSi2 on silicon-on-insulator substrates Zhao, Q.T
2002
1-2 p. 183-190
8 p.
artikel
122 Nanoscale reliability assessment of electronic devices Balk, L.J.
1999
1-2 p. 191-202
12 p.
artikel
123 New CAD & IP directions Betts, Andrew K
2000
1-2 p. 151-162
12 p.
artikel
124 New materials for active and passive integrated devices for wireless applications Gill, P
2001
1-2 p. 169-175
7 p.
artikel
125 NiSi salicide technology for scaled CMOS Iwai, Hiroshi
2002
1-2 p. 157-169
13 p.
artikel
126 Optical in-situ measurement of the dissolution rate of a silica-Czochralski-crucible with silicon melt and comparison to ex-situ measurements Mühe, A.
2001
1-2 p. 147-152
6 p.
artikel
127 Optimization of CVD dielectric process to achieve reliable ultra low-k air gaps Arnal, Vincent
2002
1-2 p. 143-148
6 p.
artikel
128 OS for embedded systems: state of the art and prospects Olive, V.
2000
1-2 p. 113-121
9 p.
artikel
129 Packaging reliability Herard, Laurent
1999
1-2 p. 17-26
10 p.
artikel
130 Pattern-dependent overlay error in optical step and repeat projection lithography Brunner, Timothy A.
1988
1-2 p. 13-24
12 p.
artikel
131 Performances of planar GaAs and InGaAs Schottky diodes fabricated by Pr2O3-added liquid phase epitaxy Cheng, Y.C
2003
1-2 p. 223-229
7 p.
artikel
132 Plasma-induced damage Viswanathan, C.R.
1999
1-2 p. 65-81
17 p.
artikel
133 Polymer ablation with a high-power excimer laser tool Wolbold, Gerhard E.
1993
1-2 p. 3-14
12 p.
artikel
134 Portable multimedia terminals: Architectural Issues of MPEG-4 visual implementation Gobert, J.
2000
1-2 p. 199-207
9 p.
artikel
135 Preface 2002
1-2 p. 1-2
2 p.
artikel
136 Preface 2000
1-2 p. 1-3
3 p.
artikel
137 Preface 2001
1-2 p. 1-
1 p.
artikel
138 Preface Boussey, Jumana
1999
1-2 p. 1-2
2 p.
artikel
139 Preface Schmitz, Dietmar
1992
1-2 p. vii-viii
nvt p.
artikel
140 Process alternative: SOI for heterogeneous systems Flandre, D.
2000
1-2 p. 49-62
14 p.
artikel
141 Process outlook for analog and RF applications Bruines, Joop J.P.
2000
1-2 p. 35-48
14 p.
artikel
142 Production maturity of 64 M/256 M furnace and RTP processes on 300 mm wafers Kürner, W.
2001
1-2 p. 41-48
8 p.
artikel
143 Progress toward excimer laser metal planarization and via hole filling using in-situ monitoring Carey, Paul G.
1993
1-2 p. 89-106
18 p.
artikel
144 Qualifications strategies in IC manufacturing Delarozée, G.
1999
1-2 p. 11-16
6 p.
artikel
145 Relation between temperature gradient at growth interface and growth rate in Czochralski silicon examined by heat balance equation Natsume, A.
2001
1-2 p. 129-132
4 p.
artikel
146 Resonance enhancement of micromachined resonators with strong mechanical-coupling between two degrees of freedom Li, Xinxin
2003
1-2 p. 1-12
12 p.
artikel
147 RF integrated systems Belot, Didier
2000
1-2 p. 103-111
9 p.
artikel
148 Robust operation of copper chemical mechanical polishing Kao, Yi-Chung
2003
1-2 p. 61-75
15 p.
artikel
149 Self-assembly patterning of epitaxial CoSi2 wires Kluth, P
2002
1-2 p. 239-245
7 p.
artikel
150 Simulation of growth in pyrolytic laser-CVD of microstructures—II. Two-dimensional approach Arnold, N.
1993
1-2 p. 43-54
12 p.
artikel
151 Simulation of growth in pyrolytic laser-CVD of microstructures—I. One-dimensional approach Arnold, N.
1993
1-2 p. 31-41
11 p.
artikel
152 Stress control of sputter-deposited Mo–N films for micromechanical applications Kattelus, H
2002
1-2 p. 97-105
9 p.
artikel
153 Stresses in thin films and interconnect lines Gudmundson, P.
2002
1-2 p. 17-29
13 p.
artikel
154 Structural and electrical characterisation of titanium and nickel silicide contacts on silicon carbide La Via, F
2002
1-2 p. 269-282
14 p.
artikel
155 Study of CoSi2 formation from a Co–Ni alloy Chamirian, O
2002
1-2 p. 221-230
10 p.
artikel
156 Study on linearity of a micromachined convective accelerometer Luo, X.B.
2003
1-2 p. 87-101
15 p.
artikel
157 Surfactant mediated growth of silicides Teichert, S
2002
1-2 p. 255-259
5 p.
artikel
158 System on a chip (SoC) and design methodology challenges Borel, Joseph
2000
1-2 p. 15-22
8 p.
artikel
159 Tantalum carbide and nitride diffusion barriers for Cu metallisation Laurila, T
2002
1-2 p. 71-80
10 p.
artikel
160 Techniques for analysing nanotopography on polished silicon wafers Müller, T.
2001
1-2 p. 123-127
5 p.
artikel
161 Telecommunication applications: new challenges for microelectronics Senn, Patrice
2000
1-2 p. 5-14
10 p.
artikel
162 Tenth micron lithography with a 10 Hz 37.2 nm sodium laser Silfvast, W.T.
1988
1-2 p. 3-11
9 p.
artikel
163 Test challenges in nanometric CMOS technologies Figueras, Joan
1999
1-2 p. 119-133
15 p.
artikel
164 The AlGaInAsP alloy system in low-pressure MOVPE Schmitz, D
1992
1-2 p. 149-173
25 p.
artikel
165 The growth technology for 300 mm single crystal silicon Tu, H
2001
1-2 p. 77-82
6 p.
artikel
166 The 300 mm silicon wafer — a cost and technology challenge Hahn, Peter O.
2001
1-2 p. 3-13
11 p.
artikel
167 TiN barriers for high-k capacitors: simulations and experimental results Theiler, T.
2001
1-2 p. 181-185
5 p.
artikel
168 Transmission electron microscopic observation of oxygen precipitates in nitrogen-doped silicon Li, Liben
2001
1-2 p. 205-208
4 p.
artikel
169 Upper yield point of large diameter silicon Fischer, A.
2001
1-2 p. 117-122
6 p.
artikel
170 Use of a capacitance voltage technique to study copper drift diffusion in (porous) inorganic low-k materials Lanckmans, F.
2002
1-2 p. 125-132
8 p.
artikel
171 Wider latitude for sophisticated devices by incorporating carbon into crystalline Si or SiGe Osten, H.J.
2001
1-2 p. 209-212
4 p.
artikel
                             171 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland