Digital Library
Close Browse articles from a journal
     Journal description
       All volumes of the corresponding journal
         All issues of the corresponding volume
                                       All articles of the corresponding issues
 
                             167 results found
no title author magazine year volume issue page(s) type
1 Accelaration mechanisms for computer performance enhancements Korsloot, M.
1990
5 p. 319-329
11 p.
article
2 ACM Computer Science Conference 1987
5 p. 428-440
13 p.
article
3 A Daisy architecture for the multiprocessor real time data acquisition system of the Thor Tokamak experiment Annunziata, Massimo
1986
5 p. 285-296
12 p.
article
4 A distributed logic program instruction prefetching scheme Halang, Wolfgang A
1987
5 p. 407-415
9 p.
article
5 A DSP based board for neural network simulation Alonso, J
1991
5 p. 263-271
9 p.
article
6 A fault-tolerant microcomputer with fail-safe outputs Fazio, Giuseppe
1983
5 p. 279-284
6 p.
article
7 A hierarchical architecture with independent professors for real-time systems Rodda, Luca
1985
5 p. 277-287
11 p.
article
8 ALU design and processor branch architecture Steven, G.B
1993
5 p. 259-278
20 p.
article
9 A microcomputer controlled corn silo Blazek, Zdenek
1982
5 p. 271-276
6 p.
article
10 A microcomputer LAN for process control and its VLSI access unit Ciccarella, G
1988
5 p. 353-365
13 p.
article
11 A microprocessor-based logical machine system Shang Rong Tsai,
1987
5 p. 375-384
10 p.
article
12 A microprocessor based protocol converter for the direct connection of a mainframe to a packet switched network Saletti, Vincenzo
1982
5 p. 263-265
3 p.
article
13 A microprogrammable system applied to multidimensional analysis of EEG in real time Ahlbom, G.
1982
5 p. 267-270
4 p.
article
14 A model of a generalized chip structure Peels, A.J.H.M.
1982
5 p. 295-298
4 p.
article
15 A multiprocessor system for simulating data transmission systems (MUPSI) Bolch, G.
1983
5 p. 267-277
11 p.
article
16 Analysis of processor-memory communication by the ns32000 processor family Eberle, Hans
1988
5 p. 367-377
11 p.
article
17 An approach to partitioning programs on the functional basis and applications Chung, In Sang
1994
5 p. 315-326
12 p.
article
18 A new strategy for interpreting LISP applied to FranzLISP Eicher, W
1988
5 p. 379-384
6 p.
article
19 An example of microprocessor's application in minicomputer systems: A copy volume design and implementation Brusamolino, Mauro
1984
5 p. 331-339
9 p.
article
20 An experimental multiprocessor system for distributed parallel computations De Maeyer, L.
1990
5 p. 305-317
13 p.
article
21 Animating Pascal programs on microcomputers Neelamkavil, Francis
1990
5 p. 331-337
7 p.
article
22 An interactive microprocessor-based system for measurements and on-line analysis of stopped-flow data El-Dhaher, A.H.G.
1983
5 p. 301-309
9 p.
article
23 An MC68000 stand-alone CAMAC microprocessor system Rijllart, A.
1983
5 p. 291-297
7 p.
article
24 Annual computer-based training and education conference Rine, David C.
1984
5 p. 288-293
6 p.
article
25 An optimal domain-based reconfiguration algorithm for WSI processor arrays Kim, Jung H.
1992
5 p. 261-278
18 p.
article
26 A parallel neural network implementation in a distributed fault diagnosis system D'Antone, I
1994
5 p. 305-313
9 p.
article
27 Applying computer science and engineering to pre-college grades (elementary and secondary) education Rine, David
1984
5 p. 255-266
12 p.
article
28 A proposal for a self-testing interface in multi-microprocessor structures Annaratone, Marco
1982
5 p. 315-317
3 p.
article
29 A simple bus analyzer: Parallel debugging tool and real time performance measure instrument and its application Hou, Kun Mean
1987
5 p. 401-405
5 p.
article
30 A simple terminal concentrator as a service of a local area network Mattasoglio, A.
1983
5 p. 295-300
6 p.
article
31 A strategy for simulating bit-slice based microprogrammable systems Mezzalama, M.
1981
5 p. 334-343
10 p.
article
32 A survey of branch techniques in pipelined processors González, Antonio M.
1993
5 p. 243-257
15 p.
article
33 Author index of volume 29 (1990/1991) 1991
5 p. 333-334
2 p.
article
34 Author index to volume 36 1993
5 p. 279-
1 p.
article
35 Author index to volume 33 (1991/92) 1992
5 p. 315-316
2 p.
article
36 Author index to volume 9 1982
5 p. 349-350
2 p.
article
37 Author index to volume 12 1983
5 p. 313-314
2 p.
article
38 Author index to volume 17 1986
5 p. 313-
1 p.
article
39 Author index to volume 22 1988
5 p. 399-400
2 p.
article
40 Author index to volume 26 1990
5 p. 361-362
2 p.
article
41 Author index to volume 19 1987
5 p. 461-462
2 p.
article
42 Author index to volume 10 1982
5 p. 366-
1 p.
article
43 Author index to volume 13 1984
5 p. 366-
1 p.
article
44 Author index to volume 11 1983
5 p. 345-
1 p.
article
45 Author index to volume 15 1985
5 p. 299-
1 p.
article
46 Author index to volume 14 1984
5 p. 301-302
2 p.
article
47 Authors index to volume 7 1981
5 p. 378-
1 p.
article
48 A variable priority arbiter for resource allocation in asynchronous multiprocessor systems Lent, Bogdan
1982
5 p. 299-307
9 p.
article
49 A VLSI ‘rest’ processing element with improved bus connections (an evaluation) Aloisio, G.
1988
5 p. 315-323
9 p.
article
50 Calendar 1993
5 p. 283-284
2 p.
article
51 Calendar 1991
5 p. 329-332
4 p.
article
52 Calendar 1992
5 p. 311-314
4 p.
article
53 Calendar 1994
5 p. 375-376
2 p.
article
54 Calendar 1982
5 p. 351-355
5 p.
article
55 Calendar 1985
5 p. 291-296
6 p.
article
56 Calendar 1984
5 p. 359-363
5 p.
article
57 Calendar 1990
5 p. 365-367
3 p.
article
58 Calendar 1987
5 p. 455-457
3 p.
article
59 CAMP: An environment for efficient microprocessor software production Saukkonen, Samuli
1982
5 p. 319-324
6 p.
article
60 1982 Canadian conference on industrial computer systems 1982
5 p. 350-351
2 p.
article
61 Capitol-izing on computers in education 1984
5 p. 278-281
4 p.
article
62 CHILL concurrency on Intel iAPX 432 architecture Cattaneo, Giorgio
1985
5 p. 233-251
19 p.
article
63 Cluster analysis with a fast microcomputer Roveda, Vincenzo
1988
5 p. 347-351
5 p.
article
64 Complete description of microprogramming Chroust, G.
1983
5 p. 339-340
2 p.
article
65 Computer-aided design of microprocessor-based digital controllers Biehl, G.
1981
5 p. 326-333
8 p.
article
66 Computer architecture Schreiner-Novick, N.A.
1983
5 p. 299-310
12 p.
article
67 Computer science education 1983
5 p. 313-323
11 p.
article
68 Cube-connected-cubes network Wu, Jie
1992
5 p. 299-310
12 p.
article
69 Data flow driven computer for embedded control systems Lent, Bogdan
1987
5 p. 385-399
15 p.
article
70 Delay insensitive micro-pipelined combinational logic De Gloria, Alessandro
1993
5 p. 225-241
17 p.
article
71 Design and validation of concurrent processes with timing sequence diagrams Piller, U.
1990
5 p. 339-350
12 p.
article
72 Designing Multibus priority resolver by means of a field programmable logic sequencer Constantinescu, Cristian
1984
5 p. 325-330
6 p.
article
73 Design of a high reliability self diagnosing computer using bit slice microprocessors Sanyal, S
1988
5 p. 325-331
7 p.
article
74 Development aids for microprocessor systems 1983
5 p. 323-327
5 p.
article
75 Development of microprocesor in-service training courses Flinn, E.A.
1982
5 p. 289-294
6 p.
article
76 Dictionary of Microprocessor systems in English, German, French, Russian Stüttgen, Heiner
1984
5 p. 295-
1 p.
article
77 Distribution algorithms for document allocation in multiprocessor information retrieval systems Ghazfan, Desra
1994
5 p. 327-354
28 p.
article
78 Dynamic load balancing algorithm in a distributed system Barmon, C
1991
5 p. 273-285
13 p.
article
79 Editorial Tiberghien, J.
1982
5 p. 261-262
2 p.
article
80 Employment and microelectronics Schotsman, G.J.
1981
5 p. 291-303
13 p.
article
81 Euromicro Calendar 1986
5 p. 305-309
5 p.
article
82 Euromicro Calendar 1982
5 p. 367-372
6 p.
article
83 Euromicro calendar 1981
5 p. 372-375
4 p.
article
84 Euromicro calendar 1983
5 p. 315-318
4 p.
article
85 Euromicro Calendar 1983
5 p. 347-352
6 p.
article
86 Euromicro calendar 1984
5 p. 297-298
2 p.
article
87 Euromicro Reports 1986
5 p. 299-304
6 p.
article
88 Euromicro '82 — The 8th symposium on microprocessing and microprogramming 1981
5 p. 370-371
2 p.
article
89 FIFTH on the transputer Mayer-Lindenberg, F
1987
5 p. 367-373
7 p.
article
90 FORTH on the BBC-Microcomputer Stüttgen, Heinrich J.
1985
5 p. 289-290
2 p.
article
91 HARD — A multilevel hardware simulation package for teaching Tomek, Ivan
1987
5 p. 417-422
6 p.
article
92 IECI '81 — Industrial, control and instrumentation applications of mini and microcomputers 1982
5 p. 327-337
11 p.
article
93 Implementation guidelines of a modular general-purpose multi-microcomputer Scarabottolo, Nello
1982
5 p. 309-313
5 p.
article
94 Industrial robots 1982
5 p. 347-350
4 p.
article
95 Information and the household: a second opinion Gelles, A.
1982
5 p. 299-310
12 p.
article
96 Intelligent interactive instructional systems Raymont, Patrick
1984
5 p. 267-272
6 p.
article
97 Interfacing microprocessors and simple sensors in robotics Monchaud, S
1982
5 p. 341-343
3 p.
article
98 Involving micros in education 1982
5 p. 351-357
7 p.
article
99 LSI modular computer systems Iguisio, N.N.
1983
5 p. 340-342
3 p.
article
100 Microcomputers in business and education 1982
5 p. 337-342
6 p.
article
101 Microcomputers in linguistic data processing: context-free parsing Klenk, Ursula
1982
5 p. 281-284
4 p.
article
102 Microcontrol architectures with sequencing firmware and modular microcode development tools Papachristou, Christos A
1991
5 p. 303-328
26 p.
article
103 Microprogramming techniques in front-end processors of the PROTEO Digital Switching System Bartolommei, B.
1982
5 p. 311-324
14 p.
article
104 Microprogram simulation using a structured microcode model Mezzalama, Marco
1984
5 p. 299-314
16 p.
article
105 Microtest '81 1982
5 p. 342-346
5 p.
article
106 Mini/Micro 82 1983
5 p. 328-337
10 p.
article
107 Multiple-microprocessor/microcomputer performance: What to acquire and how to evaluate: A status report Chao, Yen
1986
5 p. 267-276
10 p.
article
108 New processor interconnection strategies for a large multi-processor system Umeyama, Shinji
1982
5 p. 325-332
8 p.
article
109 New publications from the International Electrotechnical Commission (IEC) 1982
5 p. 325-326
2 p.
article
110 News items 1988
5 p. 393-395
3 p.
article
111 News items 1987
5 p. 447-451
5 p.
article
112 On implementing computer networking on existing cable TV plants: Some interesting proposals Karshmer, Arthur I
1994
5 p. 355-373
19 p.
article
113 On methods for direct memory access without cycle stealing Halang, Wolfgang A.
1986
5 p. 277-283
7 p.
article
114 Parallel address calculation sorting on a network of transputers Waring, L.C.
1990
5 p. 351-359
9 p.
article
115 Parallel character recognition system: Theory, simulation and synthesis Ribaríc, Slobodan
1988
5 p. 333-346
14 p.
article
116 Pattern recognition 1983
5 p. 327-328
2 p.
article
117 Performance of tightly-coupled systems with shared cache Chaudhry, G.M
1991
5 p. 287-292
6 p.
article
118 Presentation of computer I/O for people Stüttgen, Heiner
1984
5 p. 295-296
2 p.
article
119 Programming as theory building Naur, Peter
1985
5 p. 253-261
9 p.
article
120 Programming a video disc Barker, Philip
1985
5 p. 263-276
14 p.
article
121 Programming the PET/CBM Höfs, Wolfgang
1982
5 p. 361-362
2 p.
article
122 Publications from the British Standards Institution (BSI) 1982
5 p. 345-346
2 p.
article
123 3rd Annual Conference on packaging and corrosion in microelectronics 1987
5 p. 423-428
6 p.
article
124 Realization of multilayer Boolean neural networks with logic gate array Guštin, Veselko
1991
5 p. 293-302
10 p.
article
125 Reconfiguration in microprocessor schemes Lombardi, Fabrizio
1984
5 p. 315-323
9 p.
article
126 Recovery blocks for communicating systems Velardi, Paola
1983
5 p. 287-294
8 p.
article
127 Register-interconnect optimization in data path synthesis Mandal, C.A.
1992
5 p. 279-288
10 p.
article
128 Reliability analysis of multipath interconnection networks Velardi, Paola
1986
5 p. 255-265
11 p.
article
129 Remarks on a real-time, master-slaves operating system Richter, L.
1981
5 p. 304-311
8 p.
article
130 Report on CHDL '87 Conference Pawlak, Adam
1987
5 p. 441-445
5 p.
article
131 Reports 1981
5 p. 353-369
17 p.
article
132 Reports 1984
5 p. 341-357
17 p.
article
133 RISC, a microprocessor architecture for GaAs technology Fox, E.R
1988
5 p. 385-391
7 p.
article
134 Robots VI 1982
5 p. 357-360
4 p.
article
135 Segmentation of cylindrical parts stored in a bin Oh, Il-Seok
1992
5 p. 289-298
10 p.
article
136 Should computer education be science or technology oriented? Simon, J.C.
1984
5 p. 251-254
4 p.
article
137 Simulation of embedded VME multiprocessors Hassapis, George
1992
5 p. 253-260
8 p.
article
138 SKALP: Skeleton architecture for fault-tolerant distributed processing Courtois, B.
1981
5 p. 312-325
14 p.
article
139 Software reflected Berg, John L.
1982
5 p. 362-363
2 p.
article
140 Some future directions in computer education Lawson Jr., Harold W.
1984
5 p. 249-250
2 p.
article
141 Standards 1981
5 p. 351-352
2 p.
article
142 Subject index of volume 29 (1990/1991) 1991
5 p. 335-336
2 p.
article
143 Subject index to volume 36 1993
5 p. 281-282
2 p.
article
144 Subject index to volume 33 1992
5 p. 317-318
2 p.
article
145 Subject index to volume 19 1987
5 p. 459-460
2 p.
article
146 Subject index to volume 17 1986
5 p. 311-312
2 p.
article
147 Subject index to volume 13 1984
5 p. 364-365
2 p.
article
148 Subject index to volume 26 1990
5 p. 363-364
2 p.
article
149 Subject index to volume 12 1983
5 p. 311-312
2 p.
article
150 Subject index to volume 22 1988
5 p. 397-398
2 p.
article
151 Subject index to volume 11 1983
5 p. 343-344
2 p.
article
152 Subject index to volume 9 1982
5 p. 347-348
2 p.
article
153 Subject index to volume 10 1982
5 p. 364-365
2 p.
article
154 Subject index to volume 14 1984
5 p. 299-300
2 p.
article
155 Subject index to volume 15 1985
5 p. 297-298
2 p.
article
156 Subject index to volume 7 1981
5 p. 376-377
2 p.
article
157 Syntax-directed plan recognition with a microcomputer Kontos, John
1982
5 p. 277-279
3 p.
article
158 Textes rassemblés par Pierre Gérard Fontolliet dans le cadre d'un cours de l'Université populaire de Lausanne Burkert, Herman
1986
5 p. 297-298
2 p.
article
159 The circular synchronous bus Tomescu, Dan
1981
5 p. 344-350
7 p.
article
160 The circular synchronous computer and its relation to functional programming Tomescu, Dan
1982
5 p. 333-340
8 p.
article
161 The concept of proper operation region in digital integrated circuit testing, the 8080A microprocessor as an example Kaminska, Bożena
1983
5 p. 285-290
6 p.
article
162 The design of a resource allocation scheme for microcode generation Perng-yi Ma, Richard
1983
5 p. 277-286
10 p.
article
163 The impact of informatics on vocational and continuing education 1984
5 p. 273-278
6 p.
article
164 The role of programming in teaching informatics 1984
5 p. 281-287
7 p.
article
165 10th International symposium “Computer at the university” 1987
5 p. 453-
1 p.
article
166 Three new international journals enhance the standards scene 1983
5 p. 311-312
2 p.
article
167 Whither the home robot? — A personal opinion Gelles, Abby
1982
5 p. 285-288
4 p.
article
                             167 results found
 
 Koninklijke Bibliotheek - National Library of the Netherlands