Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             144 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 A 4800 bit/s microprocessor-based CCITT compatible data modem Milutinović, V.
1985
2 p. 57-74
18 p.
artikel
2 A building access control system with alarm monitoring Vinyes Sanz, J.
1983
2 p. 111-114
4 p.
artikel
3 A comparison of compiler-generated code Tommelein, Arthur
1985
2 p. 47-56
10 p.
artikel
4 A data driven multiprocessor—Its architecture and performance evaluation Shirazi, B
1989
2 p. 97-112
16 p.
artikel
5 A dataflow Prolog execution model and its architectural support Zhang, Kang
1991
2 p. 119-130
12 p.
artikel
6 A dual interface to Cambridge ring and Ethernet-type local networks Hutchison, David
1984
2 p. 97-104
8 p.
artikel
7 Advances in microprocessor peripherals N.A. Schreiner-Novick,
1985
2 p. 99-104
6 p.
artikel
8 Advances in microprogramming Holtkamp, B.
1984
2 p. 89-
1 p.
artikel
9 A general purpose communications shell for a network of transputers Waring, L.C
1990
2 p. 107-119
13 p.
artikel
10 A general real-time decoder based on amd2900 devices Soomro, Amjad Ali
1988
2 p. 97-113
17 p.
artikel
11 A hardware efficient systolic solution to the two-dimensional Discrete Fourier Transform Sarkar, Susanta
1991
2 p. 111-117
7 p.
artikel
12 A hierarchical multimicroprocessor system for object oriented languages Papazoglou, Mike
1987
2 p. 129-141
13 p.
artikel
13 A method for real time numerical integration Koinakov, G.P.
1984
2 p. 79-83
5 p.
artikel
14 A method of address tracing by firmware Kelbler, Josef
1988
2 p. 141-146
6 p.
artikel
15 A microfilmed information retrieval system Vaquero, A.
1983
2 p. 127-132
6 p.
artikel
16 A microprogram-based hardware implementation of the Leaky Bucket algorithm Merayo, Luis A
1991
2 p. 91-99
9 p.
artikel
17 A multilayered operating system for microcomputers Eliassen, F.
1984
2 p. 45-54
10 p.
artikel
18 A multitasking operating system with explicit treatment of recovery points Rossi, G.Paolo
1984
2 p. 55-66
12 p.
artikel
19 Analysis and evaluation of parallel rectangle intersection for VLSI Design Rule Checking Gregoretti, Francesco
1987
2 p. 85-100
16 p.
artikel
20 An ASIC design for edge detection in real time Majumdar, B.
1993
2 p. 55-69
15 p.
artikel
21 An efficient heuristic algorithm for mapping parallel programs onto multicomputers Selvakumar, S.
1993
2 p. 83-92
10 p.
artikel
22 An efficient numerical technique to calculate buffer statistics Rahman, Mushfiqur
1989
2 p. 129-138
10 p.
artikel
23 A new processor for 8300 series megadata computers 1987
2 p. 173-
1 p.
artikel
24 An experimental analysis of event set algorithms for discrete event simulation Nikolopoulos, Stavros D.
1993
2 p. 71-81
11 p.
artikel
25 An instruction systolic array implementation of the two-dimensional Fast Fourier Transform Sarkar, Susanta
1991
2 p. 101-110
10 p.
artikel
26 An integrated controller for modified inter-integrated circuit protocol Del Corso, D
1987
2 p. 153-166
14 p.
artikel
27 An interactive software system for microcode development Charlton, C.C.
1984
2 p. 105-114
10 p.
artikel
28 Announcement of international workshop 1989
2 p. 155-
1 p.
artikel
29 Applying algebraic simulation to machine-independent microcode synthesis Mueller, Robert A
1983
2 p. 107-115
9 p.
artikel
30 A software-controlled prefetching mechanism for software-managed TLBs Park, Jang Suk
1995
2 p. 121-136
16 p.
artikel
31 A standard code of practice for testing computer-based systems 1981
2 p. 134-135
2 p.
artikel
32 A technique to transform programs into circuits Kazimierczak, Jan
1988
2 p. 125-140
16 p.
artikel
33 A variable round-robin arbiter for high speed buses and statistical multiplexers Lee, K.C
1991
2 p. 79-90
12 p.
artikel
34 16-Bit-mikroprozessorsysteme: aufbau, arbeitsweise und programmierung (in German) Höfs, Wolfgang
1984
2 p. 143-
1 p.
artikel
35 Bus and memory interference in double bus multiprocessor systems Ajmone Marsan, M.
1984
2 p. 73-96
24 p.
artikel
36 Calendar91 1995
2 p. 191-192
2 p.
artikel
37 Calendar 1993
2 p. 105-107
3 p.
artikel
38 Calendar 1991
2 p. 131-132
2 p.
artikel
39 Calendar 1989
2 p. 157-159
3 p.
artikel
40 Calendar 1984
2 p. 145-151
7 p.
artikel
41 Calendar 1988
2 p. 157-158
2 p.
artikel
42 Calendar 1982
2 p. 115-121
7 p.
artikel
43 Calendar 1987
2 p. 177-178
2 p.
artikel
44 Calendar 1981
2 p. 148-151
4 p.
artikel
45 Character generation experiment for a computer logic design course Coey, W.A.
1982
2 p. 93-98
6 p.
artikel
46 Circuits and computers - ICCC 82 1983
2 p. 148-154
7 p.
artikel
47 Component control from a high-level language Astor, Eric
1982
2 p. 85-92
8 p.
artikel
48 Computer assisted learning—Practical objectives for future projects O'Connell, John A.
1981
2 p. 92-96
5 p.
artikel
49 Computers and higher education: A new partnership Rine, David
1983
2 p. 123-124
2 p.
artikel
50 Considerations on Language interpretation for microprocessor systems Mackrodt, W.
1981
2 p. 110-118
9 p.
artikel
51 Design and implementation of a distributed processing environment with personal computers connected to a time-sharing system Moon, Yiu-San
1985
2 p. 85-93
9 p.
artikel
52 Design of a binary coded decimal integer arithmetic unit Hess, W.E.
1981
2 p. 97-103
7 p.
artikel
53 Digital filtering using the NEC μPD7720 signal processor Simpson, Robert J.
1984
2 p. 67-78
12 p.
artikel
54 Dot matrix vacuum fluorescent display module 1987
2 p. 175-
1 p.
artikel
55 Dr. Dobb's journal of computer calisthenics & orthodontia Goedicke, Michael
1984
2 p. 144-
1 p.
artikel
56 Dr. Dobb's Journal of computer calisthenics & orthodontia Goedicke, Michael
1983
2 p. 163-
1 p.
artikel
57 Efficient fault tolerant cache memory design Verges, H.T.
1995
2 p. 153-169
17 p.
artikel
58 Euromicro 81 1982
2 p. 101-114
14 p.
artikel
59 Euromicro Calendar 1984
2 p. 91-95
5 p.
artikel
60 Euromicro calendar 1986
2 p. 113-117
5 p.
artikel
61 Euromicro calendar 1981
2 p. 131-136
6 p.
artikel
62 Euromicro Calendar 1983
2 p. 135-139
5 p.
artikel
63 Euromicro calendar 1985
2 p. 105-111
7 p.
artikel
64 Euromicro calendar 1983
2 p. 164-174
11 p.
artikel
65 Euromicro report 1981
2 p. 115-129
15 p.
artikel
66 Euromicro reports 1981
2 p. 136-147
12 p.
artikel
67 Evaluating program generators for micros: Four case studies Adamov, Rade
1986
2 p. 89-90
2 p.
artikel
68 Evaluation of a bit-serial ASIC chip for SAR processing Di Lecce, V
1991
2 p. 71-78
8 p.
artikel
69 Evaluation of a functional reliability model for microprocessors Proverbio, S
1981
2 p. 83-92
10 p.
artikel
70 Expert: A new ruggedized personal computer 1987
2 p. 173-
1 p.
artikel
71 Exploiting equilateral-triangular architectures for vlsi systolic implementation Li, Tao
1988
2 p. 115-124
10 p.
artikel
72 Failure of instruction prefetching of 8088/286/ 386 microprocessors in XT/AT systems Lua, K.T
1990
2 p. 97-106
10 p.
artikel
73 Fault-tolerant systems & diagnostics 1983
2 p. 124-127
4 p.
artikel
74 Fiber optic ethernet add-on boards for PCs 1987
2 p. 171-172
2 p.
artikel
75 Forth theory & practice Richter, Lutz
1983
2 p. 133-
1 p.
artikel
76 FTSD '85: Fault-tolerant systems & diagnostics 1986
2 p. 91-95
5 p.
artikel
77 German standards institute DIN has published more than 30 DP standards within 6 months 1983
2 p. 133-140
8 p.
artikel
78 Governmental responsibility for technology: Microelectronics policies in Western Europe Schwarz, Michiel
1981
2 p. 67-81
15 p.
artikel
79 Governments and microelectronics in Europe 1983
2 p. 120-123
4 p.
artikel
80 Hardware implementation of a parallel noise clearing algorithm Atiquzzaman, M.
1989
2 p. 119-128
10 p.
artikel
81 Hardware supported implementation of concurrent languages in distributed systems Schreiner-Novick, N.A.
1984
2 p. 85-88
4 p.
artikel
82 Heuristic and neural algorithms for mapping tasks to a reconfigurable array Ravikumar, C.P.
1995
2 p. 137-151
15 p.
artikel
83 Implementable decimal arithmetic algorithms for micro/minicomputers Ahmad, Mushtag
1987
2 p. 119-128
10 p.
artikel
84 Incorporating job sizes in distributed load balancing Vaughan, John G.
1995
2 p. 111-119
9 p.
artikel
85 Informatics in elementary education 1984
2 p. 130-135
6 p.
artikel
86 International repository for material related to microprogramming and firmware engineering Shriver, Bruce D.
1983
2 p. 119-120
2 p.
artikel
87 Letter to the editor 1982
2 p. 65-
1 p.
artikel
88 Measurement and process control need clearly defined interfaces 1983
2 p. 115-118
4 p.
artikel
89 Memory interference models for a multi-microprocessor system with a shared bus and a single external common memory Ajmone Marsan, M.
1981
2 p. 124-133
10 p.
artikel
90 Methoden der softwareentwicklung — Lehrund Arbeitsbuch zur rationellen Programmentwicklung (Software development methods — a text book for efficient program development, in German) Riedemann, Eike
1984
2 p. 90-
1 p.
artikel
91 Microcomputer management of instruction: The advanced learning system Marlin Jr., James W.
1983
2 p. 117-126
10 p.
artikel
92 Microcomputers — a universal tool 1983
2 p. 141-
1 p.
artikel
93 Microcomputers in education 1983
2 p. 155-162
8 p.
artikel
94 Microcomputer software packages 1984
2 p. 140-141
2 p.
artikel
95 Microprocessor development system market expected to triple in Europe by 1988 1983
2 p. 130-131
2 p.
artikel
96 Micro-store optimization based on micro-operation utilisation profile Rao, S.S.S.P.
1984
2 p. 115-120
6 p.
artikel
97 Minds: A microprocessor integrated development system for applications in SPC exchanges Borsotti, A.
1982
2 p. 77-83
7 p.
artikel
98 MIRS: A machine information retrieval system Gobbi, G.
1981
2 p. 104-109
6 p.
artikel
99 Multidimensional fast Hartley transform onto SIMD hypercubes Zapata, E.L
1990
2 p. 121-134
14 p.
artikel
100 New compaq personal computer 1987
2 p. 173-174
2 p.
artikel
101 Object recognition using a data-flow computing system Egan, G.K.
1981
2 p. 119-123
5 p.
artikel
102 On real-time features available in high-level languages and yet to be implemented Halang, Wolfgang A.
1983
2 p. 79-87
9 p.
artikel
103 On the architectural support for logical machine systems Tsai, Shang Rong
1988
2 p. 81-96
16 p.
artikel
104 On the industrial phase of the development of a process control microcomputer system Gorawski, Marcin
1985
2 p. 75-83
9 p.
artikel
105 On the routing of signals in parallel processor meshes Papadopoulos, Constantinos V.
1995
2 p. 171-189
19 p.
artikel
106 Opening remarks Helms, Hans Jørgen
1981
2 p. 81-
1 p.
artikel
107 Operational research and microcomputers 1986
2 p. 107-112
6 p.
artikel
108 Optimizing allocation of multi-port register file for parallelism in RISC and its implementation Ya-min, Li
1989
2 p. 113-117
5 p.
artikel
109 Parallel picture processing using micro-programmable bit-slice microprocessors Renyi, I.
1982
2 p. 67-75
9 p.
artikel
110 Performance evaluation of a distributed architecture Cunha, Alberto R
1990
2 p. 83-96
14 p.
artikel
111 Pexonance - or: The three steps in establishing a standard Harald Schummy,
1985
2 p. 95-98
4 p.
artikel
112 Physical Communication Protocols (PCP) for digital systems Brofferio, S.
1981
2 p. 93-101
9 p.
artikel
113 Press release: EUROMICRO 89 1989
2 p. 153-
1 p.
artikel
114 Programmieren von Mikrocomputern, assembler-programmierung von mikroprozessoren (8080, 8085, Z80) mit dem ZX81 (in German) Richter, Lutz
1984
2 p. 143-144
2 p.
artikel
115 Programming languages — A matter of standardization 1981
2 p. 111-114
4 p.
artikel
116 Random number generation on microcomputers — State of the art Neelamkavil, Francis
1988
2 p. 147-150
4 p.
artikel
117 RDBAS: A relational database system for non-experienced users Hermida, Roman
1983
2 p. 99-109
11 p.
artikel
118 Real-time system implementation — The transputer and occam alternative Hull, M.Elizabeth C.
1989
2 p. 77-84
8 p.
artikel
119 Relative performance measurement of 80386, 80286 and 8088 personal computer systems Lua, K.T.
1989
2 p. 85-95
11 p.
artikel
120 Reliability and maintainability 1983
2 p. 127-130
4 p.
artikel
121 Rodime new families of Winchester disk drives 1987
2 p. 172-
1 p.
artikel
122 SAFE: A stand alone fast editor Gal, T.
1981
2 p. 103-109
7 p.
artikel
123 Scheduling of precedence-constrained parallel program tasks on multiprocessors Murthy, C.Siva Ram
1993
2 p. 93-104
12 p.
artikel
124 Semicon Europe '87 show, Zürich 1987
2 p. 174-175
2 p.
artikel
125 Shared mini/microcomputer memory performance at remote computer network nodes in large scale distributed computing systems Reddi, Arumalla V
1987
2 p. 143-152
10 p.
artikel
126 Short note: Microcomputer hardware education at a Czechoslovakian Technical University Blazek, Z.
1986
2 p. 77-78
2 p.
artikel
127 Strong industry participation in ‘microprocessor’ working group of Austrian computer society 1983
2 p. 141-142
2 p.
artikel
128 The art and science of programming 1984
2 p. 135-140
6 p.
artikel
129 The French National experiment in computer based education Hebenstreit, J.
1981
2 p. 82-91
10 p.
artikel
130 The iAPX432, a next generation microprocessor van Rumste, M
1983
2 p. 69-106
38 p.
artikel
131 The 12th annual international symposium on computer architecture 1986
2 p. 95-106
12 p.
artikel
132 The use of microcomputers for financial modeling of a public utility system in a developing country Andima, Haron S
1987
2 p. 101-118
18 p.
artikel
133 15th workshop on microprogramming 1983
2 p. 142-148
7 p.
artikel
134 Towards a quick implementation of assemblers for the proposed IEEE microprocessors assembly language draft standard Skordalakis, E.
1983
2 p. 89-97
9 p.
artikel
135 Trends in the development of microcomputer hardware and software Al-Rabeh, Ala H.
1986
2 p. 79-88
10 p.
artikel
136 Two new reports on portable computers 1987
2 p. 175-
1 p.
artikel
137 U.P.M. Centre of research in computer control Gough, N.E
1987
2 p. 167-170
4 p.
artikel
138 Vectorization and parallelization of the conjugate gradient algorithm on hypercube-connected vector processors Aykanat, C
1990
2 p. 67-82
16 p.
artikel
139 Verification of microprogram transformation commands for a firmware editor (revised) Isoda, Sadahiro
1986
2 p. 61-76
16 p.
artikel
140 Very large scale integration 1983 1984
2 p. 121-130
10 p.
artikel
141 VLSI architecture research within the ESPRIT SPAN project Rounce, P.A
1989
2 p. 139-152
14 p.
artikel
142 Voice announcement for vehicle operators Koushtuev, A
1988
2 p. 151-156
6 p.
artikel
143 What to read in microcomputing 1983
2 p. 154-
1 p.
artikel
144 20 years work of the study group nuclear electronics 1982
2 p. 99-
1 p.
artikel
                             144 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland