Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             190 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Accurate determination of composition and bonding probabilities in plasma enhanced chemical vapour deposition amorphous silicon oxide Moreno, J.A
2000
88-90 4-5 p. 609-612
4 p.
artikel
2 Accurate determination of flat band voltage in advanced MOS structure Leroux, Charles
2007
88-90 4-5 p. 660-664
5 p.
artikel
3 Amorphous silicon technology for large area digital X-ray and optical imaging Nathan, Arokia
2002
88-90 4-5 p. 735-746
12 p.
artikel
4 Analysis and modeling of a digital CMOS circuit operation and reliability after gate oxide breakdown: a case study Kaczer, B.
2002
88-90 4-5 p. 555-564
10 p.
artikel
5 A new MOD method to prepare Sr0.7Bi2.2Ta2O9 ferroelectric films for non-volatile RAM memories Tejedor, P
2000
88-90 4-5 p. 683-686
4 p.
artikel
6 An investigation of surface state capture cross-sections for metal–oxide–semiconductor field-effect transistors using HfO2 gate dielectrics Chiu, Fu-Chien
2007
88-90 4-5 p. 548-551
4 p.
artikel
7 Annealing effects in the PECVD SiO2 thin films deposited using TEOS, Ar and O2 mixture Viana, C.E
2000
88-90 4-5 p. 613-616
4 p.
artikel
8 Anodic passivation of SiGe Rappich, J
2000
88-90 4-5 p. 825-827
3 p.
artikel
9 A novel method for the deposition of Si–SiO2 superlattices Gourbilleau, F
2000
88-90 4-5 p. 889-892
4 p.
artikel
10 Application of adhesive bonding techniques in hard disk drive head assembly Luk, C.F.
2002
88-90 4-5 p. 767-777
11 p.
artikel
11 Application of an MOS tunnel transistor for measurements of the tunneling parameters and of the parameters of electron energy relaxation in silicon Grekhov, I.V.
2007
88-90 4-5 p. 669-672
4 p.
artikel
12 A recombination model for transient and stationary stress-induced leakage current Ielmini, D
2000
88-90 4-5 p. 703-706
4 p.
artikel
13 A review of recent MOSFET threshold voltage extraction methods Ortiz-Conde, A.
2002
88-90 4-5 p. 583-596
14 p.
artikel
14 A software for optical characterization of thin films for microelectronic applications Leinfellner, N
2000
88-90 4-5 p. 873-875
3 p.
artikel
15 Assessing oxide reliability targets with fast WLR measurements Martin, Andreas
2000
88-90 4-5 p. 731-734
4 p.
artikel
16 A thermodynamic limit for digital electronics De Mey, Gilbert
2002
88-90 4-5 p. 507-510
4 p.
artikel
17 Atomically smooth ultrathin oxide on Si(113) Müssig, H.-J
2000
88-90 4-5 p. 577-579
3 p.
artikel
18 Boron penetration effect on gate oxide reliability of 50 Å PMOS devices Kyono, Carl
2000
88-90 4-5 p. 637-640
4 p.
artikel
19 Calculation of direct tunneling gate current through ultra-thin oxide and oxide/nitride stacks in MOSFETs and H-MOSFETs Cassan, E
2000
88-90 4-5 p. 585-588
4 p.
artikel
20 Capacitance–Voltage (C–V) characterization of 20 Å thick gate oxide: parameter extraction and modeling Clerc, R
2000
88-90 4-5 p. 571-575
5 p.
artikel
21 Carrier trapping in thin N2O-grown oxynitride/oxide di-layer for PowerMOSFET devices Currò, Giuseppe
2007
88-90 4-5 p. 798-801
4 p.
artikel
22 Cell array structure test in EEPROM reliability assessment at an early process development stage Pio, F
2000
88-90 4-5 p. 719-722
4 p.
artikel
23 Channel distribution of generated interface states in 0.35 μm LDD nMOSFET Buiu, O.
2000
88-90 4-5 p. 727-730
4 p.
artikel
24 Channel hot carrier effects in n-MOSFET devices of advanced submicron CMOS technologies La Rosa, Giuseppe
2007
88-90 4-5 p. 552-558
7 p.
artikel
25 Characteristic photoluminescence band in Si+-implanted SiO2 grown on Si wafer Iwayama, T.S.
2000
88-90 4-5 p. 849-854
6 p.
artikel
26 Characteristics of MIS capacitors based on multilayer TiO2–Ta2O5 structures Mikhelashvili, V
2000
88-90 4-5 p. 657-658
2 p.
artikel
27 Characterization of charge trapping in SiO2/Al2O3 dielectric stacks by pulsed C–V technique Puzzilli, Giuseppina
2007
88-90 4-5 p. 508-512
5 p.
artikel
28 Characterization of NH3 plasma-treated Ba0.7Sr0.3TiO3 thin films Wuu, D.S
2000
88-90 4-5 p. 663-666
4 p.
artikel
29 Characterizations of high resistivity TiN x O y thin films for applications in thin film resistors Cuong, Nguyen Duy
2007
88-90 4-5 p. 752-754
3 p.
artikel
30 Charge accumulation in the dielectric of the nanocluster NVM MOS structures under anti- and unipolar W/E window formation Turchanikov, V.
2007
88-90 4-5 p. 626-630
5 p.
artikel
31 Charge trapping and interface states in hydrogen annealed HfO2–Si structures Gomeniuk, Y.V.
2007
88-90 4-5 p. 714-717
4 p.
artikel
32 Charge trapping characterization of MOCVD HfO2/p-Si interfaces at cryogenic temperatures Tyagulskyy, I.P.
2007
88-90 4-5 p. 726-728
3 p.
artikel
33 Chemical vapor deposition of tantalum nitride films for metal gate application using TBTDET and novel single-source MOCVD precursors Lemberger, M.
2007
88-90 4-5 p. 635-639
5 p.
artikel
34 Comparison of deposition models for a TEOS LPCVD process Holzer, Stefan
2007
88-90 4-5 p. 623-625
3 p.
artikel
35 Comparison of oxide leakage currents induced by ion implantation and high field electric stress Goguenheim, D
2000
88-90 4-5 p. 751-754
4 p.
artikel
36 Conduction properties of breakdown paths in ultrathin gate oxides Miranda, E
2000
88-90 4-5 p. 687-690
4 p.
artikel
37 Construction of a cost-effective failure analysis service network––microelectronic failure analysis service in Japan Nakajima, S
2002
88-90 4-5 p. 511-521
11 p.
artikel
38 Correlation between infrared transmission spectra and the interface trap density of SiO2 films Vamvakas, V.Em.
2007
88-90 4-5 p. 834-837
4 p.
artikel
39 Defects induced anomalous breakdown kinetics in Pr2O3 by micro- and nano-characterization Fiorenza, P.
2007
88-90 4-5 p. 640-644
5 p.
artikel
40 Defects in silicon oxynitride gate dielectric films Wong, Hei
2002
88-90 4-5 p. 597-605
9 p.
artikel
41 Degradation kinetics of ultrathin HfO2 layers on Si(100) during vacuum annealing monitored with in situ XPS/LEIS and ex situ AFM Zenkevich, A.
2007
88-90 4-5 p. 657-659
3 p.
artikel
42 Density functional theory of high-k dielectric gate stacks Demkov, Alexander A.
2007
88-90 4-5 p. 686-693
8 p.
artikel
43 Determination of the electrical properties of thermally grown ultrathin nitride films Pic, N
2000
88-90 4-5 p. 589-592
4 p.
artikel
44 Development of a permittivity extraction method for ultra low k dielectrics integrated in advanced interconnects Cueto, O.
2007
88-90 4-5 p. 769-772
4 p.
artikel
45 Development of embedded capacitor with bismuth-based pyrochlore thin films at low temperatures for printed circuit board applications Park, Jong-Hyun
2007
88-90 4-5 p. 755-758
4 p.
artikel
46 Dielectric and photoluminescence properties of silicon nanoparticles embedded in a silica matrix Charvet, S
2000
88-90 4-5 p. 855-858
4 p.
artikel
47 Dielectric thin films for MEMS-based optical sensors Martyniuk, M.
2007
88-90 4-5 p. 733-738
6 p.
artikel
48 Distribution and generation of traps in SiO2/Al2O3 gate stacks Crupi, Isodiana
2007
88-90 4-5 p. 525-527
3 p.
artikel
49 DRAM reliability Kim, Kinam
2002
88-90 4-5 p. 543-553
11 p.
artikel
50 Effective work function of NiSi/HfO2 gate stacks measured with X-ray photoelectron spectroscopy Lebedinskii, Yu.Yu.
2007
88-90 4-5 p. 649-652
4 p.
artikel
51 Effect of implantation and annealing conditions on the photoluminescence emission of Si nanocrystals ion beam synthesised in SiO2 Lopez, M
2000
88-90 4-5 p. 859-862
4 p.
artikel
52 Effect of macrostructure and composition of the top metal electrode on properties of MIS gas sensors Litovchenko, V.G
2000
88-90 4-5 p. 821-824
4 p.
artikel
53 Effect of oxide breakdown on RS latches Fernández, R.
2007
88-90 4-5 p. 581-584
4 p.
artikel
54 Effect of oxide–semiconductor interface traps on low-temperature operation of MOSFETs Lysenko, V.S
2000
88-90 4-5 p. 735-738
4 p.
artikel
55 Effect of traps in the transition Si/SiO2 layer on input characteristics of SOI transistors Lysenko, V.S
2000
88-90 4-5 p. 799-802
4 p.
artikel
56 Effects of fluorine-implanted treatment on Ba0.7Sr0.3TiO3 films Horng, R.H
2000
88-90 4-5 p. 667-670
4 p.
artikel
57 Effects of high electric field and elevated-temperature bias stressing on radiation response in power VDMOSFETs Stojadinovic, N.
2002
88-90 4-5 p. 669-677
9 p.
artikel
58 Effects of hydrogen transport and reactions on microelectronics radiation response and reliability Fleetwood, D.M.
2002
88-90 4-5 p. 523-541
19 p.
artikel
59 Effects of O2 plasma treatment on the electric and dielectric characteristics of Ba0.7Sr0.3TiO3 thin films Leu, Ching-Chich
2000
88-90 4-5 p. 679-682
4 p.
artikel
60 Electrical and structural investigations in reliability characterisation of modern passives and passive integrated components Dziedzic, Andrzej
2002
88-90 4-5 p. 709-719
11 p.
artikel
61 Electrical and structural properties of hafnium silicate thin films Mitrovic, I.Z.
2007
88-90 4-5 p. 645-648
4 p.
artikel
62 Electrical characterisation of oxides grown in different RTP ambients Brazzelli, D
2000
88-90 4-5 p. 641-644
4 p.
artikel
63 Electrical characterisation of Si3N4/SiO2 double layers on p-type 6H–SiC Berberich, S
2000
88-90 4-5 p. 833-836
4 p.
artikel
64 Electrical characteristics of anodic tantalum pentoxide thin films under thermal stress Dueñas, S
2000
88-90 4-5 p. 659-662
4 p.
artikel
65 Electrical characterization and analysis techniques for the high-κ era Young, Chadwin D.
2007
88-90 4-5 p. 479-488
10 p.
artikel
66 Electrical characterization of crystalline Gd2O3 gate dielectric MOSFETs fabricated by damascene metal gate technology Endres, Ralf
2007
88-90 4-5 p. 528-531
4 p.
artikel
67 Electrical characterization of low permittivity materials for ULSI inter-metal-insulation Cluzel, J
2000
88-90 4-5 p. 675-678
4 p.
artikel
68 Electrical characterization of metal-oxide-high-k dielectric-oxide-semiconductor (MOHOS) structures for memory applications Hsu, Hsin-hao
2007
88-90 4-5 p. 606-609
4 p.
artikel
69 Electrical noise and RTS fluctuations in advanced CMOS devices Ghibaudo, G.
2002
88-90 4-5 p. 573-582
10 p.
artikel
70 Enhancing reliability with thermal transient testing Székely, V.
2002
88-90 4-5 p. 629-640
12 p.
artikel
71 Epitaxial ferroelectric PbZr x Ti1– x O3 thin films for non-volatile memory applications Guerrero, C
2000
88-90 4-5 p. 671-674
4 p.
artikel
72 Epitaxial growth of LaAlO3 on Si(001) using interface engineering Merckling, C.
2007
88-90 4-5 p. 540-543
4 p.
artikel
73 Evaluation of the generation mechanisms at surface and in the bulk of the silicon by current transient technique Barletta, Giacomo
2007
88-90 4-5 p. 810-814
5 p.
artikel
74 Experimental observations of temperature-dependent flat band voltage transients on high-k dielectrics Dueñas, S.
2007
88-90 4-5 p. 653-656
4 p.
artikel
75 Experimental study of carrier transport in multi-layered structures Tao, Guoqiao
2007
88-90 4-5 p. 610-614
5 p.
artikel
76 Extracting the relative dielectric constant for “high-κ layers” from CV measurements – Errors and error propagation Buiu, O.
2007
88-90 4-5 p. 678-681
4 p.
artikel
77 Eyring acceleration model in thick nitride/oxide dielectrics Evseev, S.B.
2007
88-90 4-5 p. 748-751
4 p.
artikel
78 Failure modes and mechanisms of InP-based and metamorphic high electron mobility transistors Meneghesso, Gaudenzio
2002
88-90 4-5 p. 685-708
24 p.
artikel
79 Ferroelectric characteristic of group IV elements added SrBi2Ta2O9 thin films Tamura, Susumu
2007
88-90 4-5 p. 830-833
4 p.
artikel
80 Flicker noise spectroscopy – a new method of studying non-stationary effects in electrical conductivity of oxides Parkhutik, V.
2000
88-90 4-5 p. 601-604
4 p.
artikel
81 Full-band tunneling in high-κ dielectric MOS structures Sacconi, F.
2007
88-90 4-5 p. 694-696
3 p.
artikel
82 Fundamental modification of gate silicon dioxide layer as a result of lateral gettering of electrically active centres Uritsky, V.Ya.
2000
88-90 4-5 p. 767-770
4 p.
artikel
83 Gate oxide breakdown in FET devices and circuits: From nanoscale physics to system-level reliability Kaczer, B.
2007
88-90 4-5 p. 559-566
8 p.
artikel
84 Growth of well-ordered silicon dioxide films on Mo(112) Schroeder, T
2000
88-90 4-5 p. 841-844
4 p.
artikel
85 Guest Editorial Lombardo, Salvatore
2007
88-90 4-5 p. 477-478
2 p.
artikel
86 High-K dielectric deposition in 3D architectures: The case of Ta2O5 deposited with metal–organic precursor TBTDET Pinzelli, L.
2007
88-90 4-5 p. 700-703
4 p.
artikel
87 High-K dielectrics for inter-poly application in non volatile memories Sebastiani, A.
2007
88-90 4-5 p. 598-601
4 p.
artikel
88 High quality gate insulator film formation on SiC using by microwave-excited high-density plasma Tanaka, Koutarou
2007
88-90 4-5 p. 786-789
4 p.
artikel
89 Hole traps and charges in ion implanted MOS capacitors: sensitivity to ionizing radiation Sabaté, N.
2000
88-90 4-5 p. 803-806
4 p.
artikel
90 Hot-carrier reliability in deep-submicrometer LATID NMOSFETs Rafı́, J.M
2000
88-90 4-5 p. 743-746
4 p.
artikel
91 Impact of Al-, Ni-, TiN-, and Mo-metal gates on MOCVD-grown HfO2 and ZrO2 high-κ dielectrics Abermann, S.
2007
88-90 4-5 p. 536-539
4 p.
artikel
92 Impact of strained-channel n-MOSFETs with a SiGe virtual substrate on dielectric interface quality evaluated by low frequency noise measurements Néau, G.
2007
88-90 4-5 p. 567-572
6 p.
artikel
93 Improved electrical properties using SrTiO3/Y2O3 bilayer dielectrics for MIM capacitor applications Kahn, Maurice
2007
88-90 4-5 p. 773-776
4 p.
artikel
94 Influence of interfaces on electrical characteristics formation in monocrystalline silicon–noncrystalline ultrathin oxide – polycrystalline silicon structures Uritsky, V.Ya.
2000
88-90 4-5 p. 605-608
4 p.
artikel
95 Influence of the SiO2 layer thickness on the degradation of HfO2/SiO2 stacks subjected to static and dynamic stress conditions Amat, E.
2007
88-90 4-5 p. 544-547
4 p.
artikel
96 Initial and PBTI-induced traps and charges in Hf-based oxides/TiN stacks Reimbold, G.
2007
88-90 4-5 p. 489-496
8 p.
artikel
97 Interface properties of the Si(100)–SiO2 system formed by rapid thermal oxidation O’Sullivan, B.J
2000
88-90 4-5 p. 645-648
4 p.
artikel
98 Interface quality study of ECR-deposited and rapid thermal annealed silicon nitride Al/SiN x :H/InP and Al/SiN x :H/In0.53Ga0.47As structures by DLTS and conductance transient techniques Castán, H
2000
88-90 4-5 p. 845-848
4 p.
artikel
99 Interface states and traps in thin N2O-grown oxynitride/oxide di-layer for PowerMOSFET devices Currò, Giuseppe
2007
88-90 4-5 p. 819-821
3 p.
artikel
100 Interfacial layer quality effects on low-frequency noise (1/f) in p-MOSFETs with advanced gate stacks Srinivasan, P.
2007
88-90 4-5 p. 501-504
4 p.
artikel
101 Internal photoemission in the MOS system at low electric fields in the dielectric. Model and applications Przewlocki, H.M
2000
88-90 4-5 p. 581-584
4 p.
artikel
102 Ionising radiation and electrical stress on nanocrystal memory cell array Cester, A.
2007
88-90 4-5 p. 602-605
4 p.
artikel
103 LOCOS induced stress effects on SOI bipolar devices Privitera, S.
2007
88-90 4-5 p. 802-805
4 p.
artikel
104 Logarithmic distributions in reliability analysis Jones, B.K.
2002
88-90 4-5 p. 779-786
8 p.
artikel
105 Low-frequency noise in hot-carrier degraded nMOSFETs Salm, Cora
2007
88-90 4-5 p. 577-580
4 p.
artikel
106 Low temperature photoformation of tantalum oxide Boyd, Ian W
2000
88-90 4-5 p. 649-655
7 p.
artikel
107 Macroscopic dielectric response of the metallic particles embedded in host dielectric medium Grechko, L.G.
2000
88-90 4-5 p. 893-895
3 p.
artikel
108 Measurement of the hot carrier damage profile in LDMOS devices stressed at high drain voltage Corso, D.
2007
88-90 4-5 p. 806-809
4 p.
artikel
109 Mechanical reliability in electronic packaging Amagai, Masazumi
2002
88-90 4-5 p. 607-627
21 p.
artikel
110 Mechanism of O2-anneal induced V fb shifts of Ru gated stacks Li, Z.
2007
88-90 4-5 p. 518-520
3 p.
artikel
111 Microstructure and electrical properties of gate SiO2 containing Ge nanoclusters for memory applications Thees, H.-J
2000
88-90 4-5 p. 867-871
5 p.
artikel
112 Modification of porous ultra-low K dielectric by electron-beam curing Guedj, C.
2007
88-90 4-5 p. 764-768
5 p.
artikel
113 NBTI reliability of Ni FUSI/HfSiON gates: Effect of silicide phase Shickova, A.
2007
88-90 4-5 p. 505-507
3 p.
artikel
114 Negative bias temperature instability modeling for high-voltage oxides at different stress temperatures Entner, Robert
2007
88-90 4-5 p. 697-699
3 p.
artikel
115 New experiments on the electrodeposition of iron in porous silicon Renaux, C
2000
88-90 4-5 p. 877-879
3 p.
artikel
116 Nitrogen bonding configurations near the oxynitride/silicon interface after oxynitridation in N2O ambient of a thin SiO2 gate Monforte, F.
2007
88-90 4-5 p. 822-824
3 p.
artikel
117 [No title] Stojadinovic, Ninoslav
2002
88-90 4-5 p. 463-
1 p.
artikel
118 [No title] Garrido, Blas
2000
88-90 4-5 p. 555-
1 p.
artikel
119 Novel applications of organic based thin film transistors Torsi, Luisa
2000
88-90 4-5 p. 779-782
4 p.
artikel
120 N2 remote plasma cleaning of InP to improve SiN x :H/InP interface performance Redondo, E
2000
88-90 4-5 p. 837-840
4 p.
artikel
121 On stress induced leakage current in 5 and 3 nm thick oxides Meinertzhagen, A
2000
88-90 4-5 p. 711-714
4 p.
artikel
122 On the initial temporal current characteristics of thin oxide devices depending on constant voltage pulse sequences Zahlmann-Nowitzki, J.-W
2000
88-90 4-5 p. 739-742
4 p.
artikel
123 Optical and electrical characterization of hafnium oxide deposited by liquid injection atomic layer deposition Taechakumput, P.
2007
88-90 4-5 p. 825-829
5 p.
artikel
124 Optical characterization of dielectric borophosphosilicate glass Gartner, Mariuca
2000
88-90 4-5 p. 617-620
4 p.
artikel
125 Optical characterization of Si-rich silicon nitride films prepared by low pressure chemical vapor deposition Vamvakas, V.Em.
2007
88-90 4-5 p. 794-797
4 p.
artikel
126 Optical semiconductor device reliability Fukuda, Mitsuo
2002
88-90 4-5 p. 679-683
5 p.
artikel
127 Optimization and performance of Al2O3/GaN metal–oxide–semiconductor structures Čičo, K.
2007
88-90 4-5 p. 790-793
4 p.
artikel
128 Optimization of HfSiON using a design of experiment (DOE) approach on 0.45V V t Ni-FUSI CMOS transistors Rothschild, A.
2007
88-90 4-5 p. 521-524
4 p.
artikel
129 Oscillatory kinetics of anodic oxidation of silicon – influence of the crystallographic orientation Parkhutik, V
2000
88-90 4-5 p. 795-798
4 p.
artikel
130 Oxidation of Si1− x − y Ge x C y strained layers grown on Si: kinetics and interface properties Cuadras, A
2000
88-90 4-5 p. 829-832
4 p.
artikel
131 Parameters extraction of hafnium based gate oxide capacitors Nguyen, T.
2007
88-90 4-5 p. 729-732
4 p.
artikel
132 Passivation issues in active pixel CMOS image sensors Regolini, J.L.
2007
88-90 4-5 p. 739-742
4 p.
artikel
133 Peculiarities of electron tunnel injection to the drain of EEPROMs Baboux, N.
2007
88-90 4-5 p. 631-634
4 p.
artikel
134 Photoluminescence from pressure-annealed silicon dioxide and nitride films Misiuk, A
2000
88-90 4-5 p. 881-884
4 p.
artikel
135 pH, pK and pNa detection properties of SiO2/Si3N4 ISFET chemical sensors Hajji, B
2000
88-90 4-5 p. 783-786
4 p.
artikel
136 Physical analysis of hard and soft breakdown failures in ultrathin gate oxides Radhakrishnan, M.K.
2002
88-90 4-5 p. 565-571
7 p.
artikel
137 Physical and electrical properties of low dielectric constant self-assembled mesoporous silica thin films Farrell, R.A.
2007
88-90 4-5 p. 759-763
5 p.
artikel
138 Plasma damage in thin gate MOS dielectrics and its effect on device characteristics and reliability Brożek, Tomasz
2000
88-90 4-5 p. 625-631
7 p.
artikel
139 Post deposition annealing studies of lanthanum aluminate and ceria high-k dielectrics on germanium Galata, S.F.
2007
88-90 4-5 p. 532-535
4 p.
artikel
140 Quantitative oxide charge determination by photocurrent analysis Rommel, M.
2007
88-90 4-5 p. 673-677
5 p.
artikel
141 Random telegraph signal: A sensitive and nondestructive tool for gate oxide single trap characterization Leyris, C.
2007
88-90 4-5 p. 573-576
4 p.
artikel
142 Reduction of the parasitic charge generation during silicon nitride deposition in a LOCOS isolation without field implant Fay, J.L
2000
88-90 4-5 p. 593-596
4 p.
artikel
143 Refined electrical analysis of two charge states transition characteristic of “borderless” silicon nitride Beylier, G.
2007
88-90 4-5 p. 743-747
5 p.
artikel
144 Relation between defect generation, stress induced leakage current and soft breakdown in thin (<5 nm) oxides Rodrı́guez, R
2000
88-90 4-5 p. 707-710
4 p.
artikel
145 Relation between residual stress and electrical properties of polysilicon/oxide/silicon structures Jalabert, L
2000
88-90 4-5 p. 597-600
4 p.
artikel
146 Reliability aspects of Hf-based capacitors: Breakdown and trapping effects Duschl, R.
2007
88-90 4-5 p. 497-500
4 p.
artikel
147 Reliability issues of silicon LSIs facing 100-nm technology node Takeda, Eiji
2002
88-90 4-5 p. 493-506
14 p.
artikel
148 Reliability of HTO based high-voltage gate stacks for flash memories Raskin, Yosef
2007
88-90 4-5 p. 615-618
4 p.
artikel
149 Reliability of ultra-thin N2O-nitrided oxides grown by RTP under low pressure and in different gas atmospheres Beichele, M
2000
88-90 4-5 p. 723-726
4 p.
artikel
150 Reliability screening of high-k dielectrics based on voltage ramp stress Kerber, A.
2007
88-90 4-5 p. 513-517
5 p.
artikel
151 Reversible and irreversible interface trap centres generated at high electric fields in MOS structures Jastrzębski, C
2000
88-90 4-5 p. 755-758
4 p.
artikel
152 RF CMOS technology for MMIC Chang, Chun-Yen
2002
88-90 4-5 p. 721-733
13 p.
artikel
153 RTA effects on the formation process of embedded luminescent Si nanocrystals in SiO2 Iwayama, T.S.
2007
88-90 4-5 p. 781-785
5 p.
artikel
154 Selected failure mechanisms of modern power modules Ciappa, Mauro
2002
88-90 4-5 p. 653-667
15 p.
artikel
155 Silicon integrated circuit technology from past to future Iwai, Hiroshi
2002
88-90 4-5 p. 465-491
27 p.
artikel
156 Silicon microsystem passivation for high-voltage applications in DNA chips Erill, I
2000
88-90 4-5 p. 787-789
3 p.
artikel
157 Silicon nanocrystal non-volatile memory for embedded memory scaling Steimle, R.F.
2007
88-90 4-5 p. 585-592
8 p.
artikel
158 Silicon wafer oxygenation from SiO2 layers for radiation hard detectors Fonseca, L.
2000
88-90 4-5 p. 791-794
4 p.
artikel
159 Smoothing, passivation and re-passivation of silicon surfaces by anodic oxidation: a low thermal budget process Rappich, J
2000
88-90 4-5 p. 815-819
5 p.
artikel
160 State-of-the-art and future of silicon on insulator technologies, materials, and devices Cristoloveanu, Sorin
2000
88-90 4-5 p. 771-777
7 p.
artikel
161 Statistical modeling of MOS devices for parametric yield prediction Liou, Juin J.
2002
88-90 4-5 p. 787-795
9 p.
artikel
162 Study of nanocrystal memory integration in a Flash-like NOR device Gerardi, Cosimo
2007
88-90 4-5 p. 593-597
5 p.
artikel
163 Study of the positive charge buildup into buried oxide of SIMOX SOI structure during bias–temperature stress Barchuk, I
2000
88-90 4-5 p. 811-814
4 p.
artikel
164 Suppression of boron penetration through thin gate oxides by nitrogen implantation into the gate electrode of PMOS devices Herden, M
2000
88-90 4-5 p. 633-636
4 p.
artikel
165 Synthesis and characterisation of metal suboxides for gas sensors Calderer, J
2000
88-90 4-5 p. 807-810
4 p.
artikel
166 Synthesis of luminescent particles in SiO2 films by sequential Si and C ion implantation González-Varona, O
2000
88-90 4-5 p. 885-888
4 p.
artikel
167 Templates for LaAlO3 epitaxy on silicon Boulenc, P.
2007
88-90 4-5 p. 709-713
5 p.
artikel
168 Test structures for dielectric spectroscopy of thin films at microwave frequencies Delmonte, N.
2007
88-90 4-5 p. 682-685
4 p.
artikel
169 Tetraethylorthosilicate SiO2 films deposited at a low temperature da Silva, A.N.R.
2000
88-90 4-5 p. 621-624
4 p.
artikel
170 The characterization of retention properties of metal–ferroelectric (PbZr0.53Ti0.47O3)–insulator (Dy2O3, Y2O3)–semiconductor devices Su, Yu-Di
2007
88-90 4-5 p. 619-622
4 p.
artikel
171 The effect of stress polarity on positive charging in thin gate oxide Bellutti, P
2000
88-90 4-5 p. 747-750
4 p.
artikel
172 The image force effect on the barrier height in MOS structures: correlation of the corrected barrier height with temperature and the oxide thickness Salace, G
2000
88-90 4-5 p. 763-766
4 p.
artikel
173 The influence of hydrogen and nitrogen on the formation of Si nanoclusters embedded in sub-stoichiometric silicon oxide layers Caristia, Liliana
2007
88-90 4-5 p. 777-780
4 p.
artikel
174 The positive charge neutralisation after bi-directional stress on MOS capacitors Ziane, D
2000
88-90 4-5 p. 759-761
3 p.
artikel
175 The relentless march of the MOSFET gate oxide thickness to zero Timp, G
2000
88-90 4-5 p. 557-562
6 p.
artikel
176 The roles of charged and neutral oxidising species in silicon oxidation from ab initio calculations Szymanski, M.A
2000
88-90 4-5 p. 567-570
4 p.
artikel
177 The “trouble not identified” phenomenon in automotive electronics Thomas, Dawn A.
2002
88-90 4-5 p. 641-651
11 p.
artikel
178 Time decay of stress induced leakage current in thin gate oxides by low-field electron injection Cester, A
2000
88-90 4-5 p. 715-718
4 p.
artikel
179 Total ionizing dose reliability of thin SiO2 in PowerMOSFET devices Cascio, A.
2007
88-90 4-5 p. 815-818
4 p.
artikel
180 Transport process in thin SiO2 films with an embedded 2-D array of Si nanocrystals De Salvo, B
2000
88-90 4-5 p. 863-866
4 p.
artikel
181 Tuneable electrical properties of hafnium aluminate gate dielectrics deposited by metal organic chemical vapour deposition Lu, Y.
2007
88-90 4-5 p. 722-725
4 p.
artikel
182 Ultra-thin oxide reliability: searching for the thickness scaling limit Degraeve, R
2000
88-90 4-5 p. 697-701
5 p.
artikel
183 Ultra-thin SiO2 film studies: index, thickness, roughness and the initial oxidation regime Irene, Eugene A
2000
88-90 4-5 p. 563-565
3 p.
artikel
184 Use of preliminary ultraviolet and infrared illumination for diagnostics of MOS and bipolar devices radiation response Pershenkov, V.S.
2002
88-90 4-5 p. 797-804
8 p.
artikel
185 Volatility and vapourisation characterisation of new precursors Rushworth, Simon
2007
88-90 4-5 p. 718-721
4 p.
artikel
186 VSP – A gate stack analyzer Karner, M.
2007
88-90 4-5 p. 704-708
5 p.
artikel
187 Wet or dry ultrathin oxides: impact on gate oxide and device reliability Bruyère, S
2000
88-90 4-5 p. 691-695
5 p.
artikel
188 WODIM 2008 – First Announcement 15th Workshop on Dielectrics in Microelectronics June 22–26, 2008 in Bad Saarow (Berlin), Germany 2007
88-90 4-5 p. 838-
1 p.
artikel
189 Worn-out oxide MOSFET characteristics: Role of gate current and device parameters on a current mirror Martín-Martínez, J.
2007
88-90 4-5 p. 665-668
4 p.
artikel
190 Zapping thin film transistors Tošić Golo, N.
2002
88-90 4-5 p. 747-765
19 p.
artikel
                             190 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland