Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             83 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 Accurate determination of flat band voltage in advanced MOS structure Leroux, Charles
2007
47 4-5 p. 660-664
5 p.
artikel
2 An investigation of surface state capture cross-sections for metal–oxide–semiconductor field-effect transistors using HfO2 gate dielectrics Chiu, Fu-Chien
2007
47 4-5 p. 548-551
4 p.
artikel
3 Application of an MOS tunnel transistor for measurements of the tunneling parameters and of the parameters of electron energy relaxation in silicon Grekhov, I.V.
2007
47 4-5 p. 669-672
4 p.
artikel
4 Carrier trapping in thin N2O-grown oxynitride/oxide di-layer for PowerMOSFET devices Currò, Giuseppe
2007
47 4-5 p. 798-801
4 p.
artikel
5 Channel hot carrier effects in n-MOSFET devices of advanced submicron CMOS technologies La Rosa, Giuseppe
2007
47 4-5 p. 552-558
7 p.
artikel
6 Characterization of charge trapping in SiO2/Al2O3 dielectric stacks by pulsed C–V technique Puzzilli, Giuseppina
2007
47 4-5 p. 508-512
5 p.
artikel
7 Characterizations of high resistivity TiN x O y thin films for applications in thin film resistors Cuong, Nguyen Duy
2007
47 4-5 p. 752-754
3 p.
artikel
8 Charge accumulation in the dielectric of the nanocluster NVM MOS structures under anti- and unipolar W/E window formation Turchanikov, V.
2007
47 4-5 p. 626-630
5 p.
artikel
9 Charge trapping and interface states in hydrogen annealed HfO2–Si structures Gomeniuk, Y.V.
2007
47 4-5 p. 714-717
4 p.
artikel
10 Charge trapping characterization of MOCVD HfO2/p-Si interfaces at cryogenic temperatures Tyagulskyy, I.P.
2007
47 4-5 p. 726-728
3 p.
artikel
11 Chemical vapor deposition of tantalum nitride films for metal gate application using TBTDET and novel single-source MOCVD precursors Lemberger, M.
2007
47 4-5 p. 635-639
5 p.
artikel
12 Comparison of deposition models for a TEOS LPCVD process Holzer, Stefan
2007
47 4-5 p. 623-625
3 p.
artikel
13 Correlation between infrared transmission spectra and the interface trap density of SiO2 films Vamvakas, V.Em.
2007
47 4-5 p. 834-837
4 p.
artikel
14 Defects induced anomalous breakdown kinetics in Pr2O3 by micro- and nano-characterization Fiorenza, P.
2007
47 4-5 p. 640-644
5 p.
artikel
15 Degradation kinetics of ultrathin HfO2 layers on Si(100) during vacuum annealing monitored with in situ XPS/LEIS and ex situ AFM Zenkevich, A.
2007
47 4-5 p. 657-659
3 p.
artikel
16 Density functional theory of high-k dielectric gate stacks Demkov, Alexander A.
2007
47 4-5 p. 686-693
8 p.
artikel
17 Development of a permittivity extraction method for ultra low k dielectrics integrated in advanced interconnects Cueto, O.
2007
47 4-5 p. 769-772
4 p.
artikel
18 Development of embedded capacitor with bismuth-based pyrochlore thin films at low temperatures for printed circuit board applications Park, Jong-Hyun
2007
47 4-5 p. 755-758
4 p.
artikel
19 Dielectric thin films for MEMS-based optical sensors Martyniuk, M.
2007
47 4-5 p. 733-738
6 p.
artikel
20 Distribution and generation of traps in SiO2/Al2O3 gate stacks Crupi, Isodiana
2007
47 4-5 p. 525-527
3 p.
artikel
21 Effective work function of NiSi/HfO2 gate stacks measured with X-ray photoelectron spectroscopy Lebedinskii, Yu.Yu.
2007
47 4-5 p. 649-652
4 p.
artikel
22 Effect of oxide breakdown on RS latches Fernández, R.
2007
47 4-5 p. 581-584
4 p.
artikel
23 Electrical and structural properties of hafnium silicate thin films Mitrovic, I.Z.
2007
47 4-5 p. 645-648
4 p.
artikel
24 Electrical characterization and analysis techniques for the high-κ era Young, Chadwin D.
2007
47 4-5 p. 479-488
10 p.
artikel
25 Electrical characterization of crystalline Gd2O3 gate dielectric MOSFETs fabricated by damascene metal gate technology Endres, Ralf
2007
47 4-5 p. 528-531
4 p.
artikel
26 Electrical characterization of metal-oxide-high-k dielectric-oxide-semiconductor (MOHOS) structures for memory applications Hsu, Hsin-hao
2007
47 4-5 p. 606-609
4 p.
artikel
27 Epitaxial growth of LaAlO3 on Si(001) using interface engineering Merckling, C.
2007
47 4-5 p. 540-543
4 p.
artikel
28 Evaluation of the generation mechanisms at surface and in the bulk of the silicon by current transient technique Barletta, Giacomo
2007
47 4-5 p. 810-814
5 p.
artikel
29 Experimental observations of temperature-dependent flat band voltage transients on high-k dielectrics Dueñas, S.
2007
47 4-5 p. 653-656
4 p.
artikel
30 Experimental study of carrier transport in multi-layered structures Tao, Guoqiao
2007
47 4-5 p. 610-614
5 p.
artikel
31 Extracting the relative dielectric constant for “high-κ layers” from CV measurements – Errors and error propagation Buiu, O.
2007
47 4-5 p. 678-681
4 p.
artikel
32 Eyring acceleration model in thick nitride/oxide dielectrics Evseev, S.B.
2007
47 4-5 p. 748-751
4 p.
artikel
33 Ferroelectric characteristic of group IV elements added SrBi2Ta2O9 thin films Tamura, Susumu
2007
47 4-5 p. 830-833
4 p.
artikel
34 Full-band tunneling in high-κ dielectric MOS structures Sacconi, F.
2007
47 4-5 p. 694-696
3 p.
artikel
35 Gate oxide breakdown in FET devices and circuits: From nanoscale physics to system-level reliability Kaczer, B.
2007
47 4-5 p. 559-566
8 p.
artikel
36 Guest Editorial Lombardo, Salvatore
2007
47 4-5 p. 477-478
2 p.
artikel
37 High-K dielectric deposition in 3D architectures: The case of Ta2O5 deposited with metal–organic precursor TBTDET Pinzelli, L.
2007
47 4-5 p. 700-703
4 p.
artikel
38 High-K dielectrics for inter-poly application in non volatile memories Sebastiani, A.
2007
47 4-5 p. 598-601
4 p.
artikel
39 High quality gate insulator film formation on SiC using by microwave-excited high-density plasma Tanaka, Koutarou
2007
47 4-5 p. 786-789
4 p.
artikel
40 Impact of Al-, Ni-, TiN-, and Mo-metal gates on MOCVD-grown HfO2 and ZrO2 high-κ dielectrics Abermann, S.
2007
47 4-5 p. 536-539
4 p.
artikel
41 Impact of strained-channel n-MOSFETs with a SiGe virtual substrate on dielectric interface quality evaluated by low frequency noise measurements Néau, G.
2007
47 4-5 p. 567-572
6 p.
artikel
42 Improved electrical properties using SrTiO3/Y2O3 bilayer dielectrics for MIM capacitor applications Kahn, Maurice
2007
47 4-5 p. 773-776
4 p.
artikel
43 Influence of the SiO2 layer thickness on the degradation of HfO2/SiO2 stacks subjected to static and dynamic stress conditions Amat, E.
2007
47 4-5 p. 544-547
4 p.
artikel
44 Initial and PBTI-induced traps and charges in Hf-based oxides/TiN stacks Reimbold, G.
2007
47 4-5 p. 489-496
8 p.
artikel
45 Interface states and traps in thin N2O-grown oxynitride/oxide di-layer for PowerMOSFET devices Currò, Giuseppe
2007
47 4-5 p. 819-821
3 p.
artikel
46 Interfacial layer quality effects on low-frequency noise (1/f) in p-MOSFETs with advanced gate stacks Srinivasan, P.
2007
47 4-5 p. 501-504
4 p.
artikel
47 Ionising radiation and electrical stress on nanocrystal memory cell array Cester, A.
2007
47 4-5 p. 602-605
4 p.
artikel
48 LOCOS induced stress effects on SOI bipolar devices Privitera, S.
2007
47 4-5 p. 802-805
4 p.
artikel
49 Low-frequency noise in hot-carrier degraded nMOSFETs Salm, Cora
2007
47 4-5 p. 577-580
4 p.
artikel
50 Measurement of the hot carrier damage profile in LDMOS devices stressed at high drain voltage Corso, D.
2007
47 4-5 p. 806-809
4 p.
artikel
51 Mechanism of O2-anneal induced V fb shifts of Ru gated stacks Li, Z.
2007
47 4-5 p. 518-520
3 p.
artikel
52 Modification of porous ultra-low K dielectric by electron-beam curing Guedj, C.
2007
47 4-5 p. 764-768
5 p.
artikel
53 NBTI reliability of Ni FUSI/HfSiON gates: Effect of silicide phase Shickova, A.
2007
47 4-5 p. 505-507
3 p.
artikel
54 Negative bias temperature instability modeling for high-voltage oxides at different stress temperatures Entner, Robert
2007
47 4-5 p. 697-699
3 p.
artikel
55 Nitrogen bonding configurations near the oxynitride/silicon interface after oxynitridation in N2O ambient of a thin SiO2 gate Monforte, F.
2007
47 4-5 p. 822-824
3 p.
artikel
56 Optical and electrical characterization of hafnium oxide deposited by liquid injection atomic layer deposition Taechakumput, P.
2007
47 4-5 p. 825-829
5 p.
artikel
57 Optical characterization of Si-rich silicon nitride films prepared by low pressure chemical vapor deposition Vamvakas, V.Em.
2007
47 4-5 p. 794-797
4 p.
artikel
58 Optimization and performance of Al2O3/GaN metal–oxide–semiconductor structures Čičo, K.
2007
47 4-5 p. 790-793
4 p.
artikel
59 Optimization of HfSiON using a design of experiment (DOE) approach on 0.45V V t Ni-FUSI CMOS transistors Rothschild, A.
2007
47 4-5 p. 521-524
4 p.
artikel
60 Parameters extraction of hafnium based gate oxide capacitors Nguyen, T.
2007
47 4-5 p. 729-732
4 p.
artikel
61 Passivation issues in active pixel CMOS image sensors Regolini, J.L.
2007
47 4-5 p. 739-742
4 p.
artikel
62 Peculiarities of electron tunnel injection to the drain of EEPROMs Baboux, N.
2007
47 4-5 p. 631-634
4 p.
artikel
63 Physical and electrical properties of low dielectric constant self-assembled mesoporous silica thin films Farrell, R.A.
2007
47 4-5 p. 759-763
5 p.
artikel
64 Post deposition annealing studies of lanthanum aluminate and ceria high-k dielectrics on germanium Galata, S.F.
2007
47 4-5 p. 532-535
4 p.
artikel
65 Quantitative oxide charge determination by photocurrent analysis Rommel, M.
2007
47 4-5 p. 673-677
5 p.
artikel
66 Random telegraph signal: A sensitive and nondestructive tool for gate oxide single trap characterization Leyris, C.
2007
47 4-5 p. 573-576
4 p.
artikel
67 Refined electrical analysis of two charge states transition characteristic of “borderless” silicon nitride Beylier, G.
2007
47 4-5 p. 743-747
5 p.
artikel
68 Reliability aspects of Hf-based capacitors: Breakdown and trapping effects Duschl, R.
2007
47 4-5 p. 497-500
4 p.
artikel
69 Reliability of HTO based high-voltage gate stacks for flash memories Raskin, Yosef
2007
47 4-5 p. 615-618
4 p.
artikel
70 Reliability screening of high-k dielectrics based on voltage ramp stress Kerber, A.
2007
47 4-5 p. 513-517
5 p.
artikel
71 RTA effects on the formation process of embedded luminescent Si nanocrystals in SiO2 Iwayama, T.S.
2007
47 4-5 p. 781-785
5 p.
artikel
72 Silicon nanocrystal non-volatile memory for embedded memory scaling Steimle, R.F.
2007
47 4-5 p. 585-592
8 p.
artikel
73 Study of nanocrystal memory integration in a Flash-like NOR device Gerardi, Cosimo
2007
47 4-5 p. 593-597
5 p.
artikel
74 Templates for LaAlO3 epitaxy on silicon Boulenc, P.
2007
47 4-5 p. 709-713
5 p.
artikel
75 Test structures for dielectric spectroscopy of thin films at microwave frequencies Delmonte, N.
2007
47 4-5 p. 682-685
4 p.
artikel
76 The characterization of retention properties of metal–ferroelectric (PbZr0.53Ti0.47O3)–insulator (Dy2O3, Y2O3)–semiconductor devices Su, Yu-Di
2007
47 4-5 p. 619-622
4 p.
artikel
77 The influence of hydrogen and nitrogen on the formation of Si nanoclusters embedded in sub-stoichiometric silicon oxide layers Caristia, Liliana
2007
47 4-5 p. 777-780
4 p.
artikel
78 Total ionizing dose reliability of thin SiO2 in PowerMOSFET devices Cascio, A.
2007
47 4-5 p. 815-818
4 p.
artikel
79 Tuneable electrical properties of hafnium aluminate gate dielectrics deposited by metal organic chemical vapour deposition Lu, Y.
2007
47 4-5 p. 722-725
4 p.
artikel
80 Volatility and vapourisation characterisation of new precursors Rushworth, Simon
2007
47 4-5 p. 718-721
4 p.
artikel
81 VSP – A gate stack analyzer Karner, M.
2007
47 4-5 p. 704-708
5 p.
artikel
82 WODIM 2008 – First Announcement 15th Workshop on Dielectrics in Microelectronics June 22–26, 2008 in Bad Saarow (Berlin), Germany 2007
47 4-5 p. 838-
1 p.
artikel
83 Worn-out oxide MOSFET characteristics: Role of gate current and device parameters on a current mirror Martín-Martínez, J.
2007
47 4-5 p. 665-668
4 p.
artikel
                             83 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland