Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             194 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 A comparison of MOS processes for VLSI. Part II 1985
25 3 p. 588-589
2 p.
artikel
2 Adaptive robust estimation based on a family of generalized exponential power distributions 1985
25 3 p. 583-
1 p.
artikel
3 Addendum to: computing failure frequency via mixed products of availabilities and unavailabilities 1985
25 3 p. 585-
1 p.
artikel
4 A discrete time queueing problem with S heterogeneous groups of channels Sharda,
1985
25 3 p. 455-459
5 p.
artikel
5 Advanced CMOS epitaxial processing for latch-up hardening and improved epilayer quality 1985
25 3 p. 587-
1 p.
artikel
6 Advances in X-ray mask technology 1985
25 3 p. 588-
1 p.
artikel
7 A fast algorithm for a redundancy optimization problem Murty, V.Dakshina
1985
25 3 p. 511-523
13 p.
artikel
8 A logarithmic reliability-growth model for single-mission systems 1985
25 3 p. 583-
1 p.
artikel
9 A multiple attribute evaluation of Bayesian availability estimators 1985
25 3 p. 585-
1 p.
artikel
10 An accurate probability-of-failure calculation method 1985
25 3 p. 583-584
2 p.
artikel
11 Analysis of one-server two-unit system subject to stage-wise installation and repair Gopalan, M.N.
1985
25 3 p. 549-560
12 p.
artikel
12 Analytical study of the pointwise availability of a parallel redundant 2-out-of-N :F system under head-of-line repair echelon 1985
25 3 p. 583-
1 p.
artikel
13 An analysis of interconnect line capacitance and coupling for VLSI circuits 1985
25 3 p. 589-
1 p.
artikel
14 An analytical model for the narrow-width effect in ion-implanted MOSFETs 1985
25 3 p. 595-
1 p.
artikel
15 An automated, low power, high speed complementary PLA design system for VLSI applications 1985
25 3 p. 590-
1 p.
artikel
16 An efficient algorithm for optimal design of diagnostics 1985
25 3 p. 584-
1 p.
artikel
17 A new method for impurity states in semiconductors 1985
25 3 p. 591-
1 p.
artikel
18 A new process of grid structure formation for end point detection during substrate thinning of transit time devices Ahmad, S.
1985
25 3 p. 447-450
4 p.
artikel
19 An interactive system for VLSI chip physical design 1985
25 3 p. 589-
1 p.
artikel
20 An ion implant induced instability mechanism in CMOS/SOS device 1985
25 3 p. 595-
1 p.
artikel
21 A note on optimum checkpointing policies Kaio, Naoto
1985
25 3 p. 451-453
3 p.
artikel
22 4483388 Apparatus and method for providing failsafe supplemental heat regulation in an air conditioning control Briccetti, MarioF
1985
25 3 p. 601-
1 p.
artikel
23 A single-chip CMOS analog front-end for high-speed modems 1985
25 3 p. 590-
1 p.
artikel
24 A small experimental robotic PCB assembly system 1985
25 3 p. 590-
1 p.
artikel
25 Automated wafer processing using robots 1985
25 3 p. 586-
1 p.
artikel
26 A valence bond theory of off-center impurities in silicon 1985
25 3 p. 590-591
2 p.
artikel
27 Bond-integrity testing of sapphire chips mounted with eutectic preforms 1985
25 3 p. 583-
1 p.
artikel
28 Burn-in: what's in a name? 1985
25 3 p. 581-
1 p.
artikel
29 CAD systems: mapping out tomorrow's ICs 1985
25 3 p. 586-
1 p.
artikel
30 4489397 Chain configurable polycellular wafer scale integrated circuit Lee, KinH
1985
25 3 p. 605-
1 p.
artikel
31 Characteristics and reliability of 100 Å oxides 1985
25 3 p. 592-
1 p.
artikel
32 Characterization of bias sputtered metallization for IC technology 1985
25 3 p. 589-
1 p.
artikel
33 Characterization of die attach failure modes in leadless chip carrier (LCC) packages by auger electron spectroscopy 1985
25 3 p. 582-
1 p.
artikel
34 Characterization of interface states in thin films of thermally grown SiO2 1985
25 3 p. 591-
1 p.
artikel
35 Cleaning processes for HIC's with solder paste 1985
25 3 p. 593-594
2 p.
artikel
36 CMOS for high-density gate arrays 1985
25 3 p. 590-
1 p.
artikel
37 CMOS single-chip digital signal processor 1985
25 3 p. 590-
1 p.
artikel
38 Comparison of theoretical and empirical lifetimes for minority carriers in heavily doped silicon 1985
25 3 p. 591-
1 p.
artikel
39 Computer-aided design of an n-MOS custom IC-“Subscriber Chip” of the 32-lines microprocessor based PAX (private automatic exchange) system Srivastava, Ashok
1985
25 3 p. 425-436
12 p.
artikel
40 4488299 Computerized versatile and modular test system for electrical circuits Fellhauer, Michae
1985
25 3 p. 603-
1 p.
artikel
41 Considerations in the hermetic packaging of hybrid microcircuits 1985
25 3 p. 594-
1 p.
artikel
42 Constraint solver for generalized IC layout 1985
25 3 p. 586-587
2 p.
artikel
43 Cost analysis in two-unit warm standby models with a regular repairman and patience time Murari, K.
1985
25 3 p. 473-483
11 p.
artikel
44 Cost analysis of a system with partial failure mode and abnormal weather conditions Goel, L.R.
1985
25 3 p. 461-466
6 p.
artikel
45 Cost-benefit analysis of one-server two-unit imperfect switch system subject to multistage repairs Gopalan, M.N.
1985
25 3 p. 541-548
8 p.
artikel
46 Current problems in v.l.s.i. testing and testability 1985
25 3 p. 583-
1 p.
artikel
47 Current status of X-ray lithography: Part I 1985
25 3 p. 588-
1 p.
artikel
48 Custom chip/card design system 1985
25 3 p. 589-
1 p.
artikel
49 Decomposition in reliability analysis of fault-tolerant systems 1985
25 3 p. 585-
1 p.
artikel
50 Dielectric integrity of the gate oxide in SOS devices 1985
25 3 p. 592-
1 p.
artikel
51 4477870 Digital control system monitor having a predetermined output under fault conditions Kraus, MarkG
1985
25 3 p. 598-599
2 p.
artikel
52 Dimensional errors in stepping lithography 1985
25 3 p. 588-
1 p.
artikel
53 4478476 Dip burn-in socket Jones, ElmerR
1985
25 3 p. 600-
1 p.
artikel
54 4477901 Directive diagnostics Braband, EdwardC
1985
25 3 p. 599-
1 p.
artikel
55 Direct write electron beam lithography—a production line reality 1985
25 3 p. 595-
1 p.
artikel
56 Dynamic fault imaging of VLSI random logic devices 1985
25 3 p. 584-
1 p.
artikel
57 Effect of silicon inclusions on the reliability of sputtered aluminum-silicon metallization 1985
25 3 p. 582-
1 p.
artikel
58 Efficiency of censored reliability studies 1985
25 3 p. 586-
1 p.
artikel
59 Electromigration evaluation—MTF modeling and accelerated testing 1985
25 3 p. 582-583
2 p.
artikel
60 Electron energy losses from thin silver films 1985
25 3 p. 594-
1 p.
artikel
61 Electronics assembly robots: U.S. vs Japan 1985
25 3 p. 586-
1 p.
artikel
62 4476433 Electronic test fixture Logan, JohnK
1985
25 3 p. 597-598
2 p.
artikel
63 Electron localization and superconductivity in very thin epitaxially grown Ag films on Ge (001) 1985
25 3 p. 592-
1 p.
artikel
64 Electron mobility in heavily doped silicon 1985
25 3 p. 591-592
2 p.
artikel
65 Electrostatic discharge: mechanisms, protection techniques, and effects on integrated circuit reliability 1985
25 3 p. 581-
1 p.
artikel
66 Emphasizing effluent gas scrubbing 1985
25 3 p. 587-
1 p.
artikel
67 Equipment requirements for sub-micron VLSI production 1985
25 3 p. 589-
1 p.
artikel
68 Error analysis in Newton-SOR computer simulation of semiconductor devices 1985
25 3 p. 581-582
2 p.
artikel
69 Failure modes of InGaAsP/InP lasers due to adhesives 1985
25 3 p. 582-
1 p.
artikel
70 Failure rate prediction of optical semiconductor devices Sudo, H.
1985
25 3 p. 525-540
16 p.
artikel
71 Fault-tree analysis by fuzzy probability 1985
25 3 p. 584-
1 p.
artikel
72 Flexible material handling automation in wafer fabrication 1985
25 3 p. 587-
1 p.
artikel
73 Focused ion beam systems for materials analysis and modification 1985
25 3 p. 595-
1 p.
artikel
74 From fault-tree to fault-identification 1985
25 3 p. 585-
1 p.
artikel
75 GaAs crystal growth trends 1985
25 3 p. 586-
1 p.
artikel
76 Gallium arsenide: a practical alternative to silicon 1985
25 3 p. 586-
1 p.
artikel
77 Generalized preventive maintenance policies for a system subject to deterioration 1985
25 3 p. 585-
1 p.
artikel
78 General probability of system failure 1985
25 3 p. 581-
1 p.
artikel
79 High resolution dose uniformity monitoring of ion implanters. Part I 1985
25 3 p. 594-
1 p.
artikel
80 High resolution dose uniformity monitoring of ion implanters. Part II 1985
25 3 p. 595-
1 p.
artikel
81 High temperature annealing behavior of electron traps in thermal SiO2 1985
25 3 p. 591-
1 p.
artikel
82 High throughput submicron lithography with electron beam proximity printing 1985
25 3 p. 595-
1 p.
artikel
83 How to hand-check a symbolic reliability expression 1985
25 3 p. 581-
1 p.
artikel
84 Hybrid approaches to chip interconnection offer many alternatives 1985
25 3 p. 594-
1 p.
artikel
85 Hybrid packaging for the 1980s at EDI 1985
25 3 p. 593-
1 p.
artikel
86 Hybrid wire bonding advances 1985
25 3 p. 588-
1 p.
artikel
87 Hydrodynamics of Czochralski growth—a review of the effects of rotation and buoyancy force 1985
25 3 p. 592-
1 p.
artikel
88 Imbedded semi-Markov process applied to stochastic analysis of a two-unit standby system with two types of failures Agarwal, Manju
1985
25 3 p. 561-571
11 p.
artikel
89 Impact of advances in technology on the properties of Si/SiO2 interface 1985
25 3 p. 592-
1 p.
artikel
90 Improving bond yields to metal package leads 1985
25 3 p. 587-
1 p.
artikel
91 Increased capacity, automation, materials' purity highlight Semicon East 1985
25 3 p. 586-
1 p.
artikel
92 4488267 Integrated circuit chips with removable drivers and/or buffers Harrison, MarcL
1985
25 3 p. 602-603
2 p.
artikel
93 4478352 Integrated circuit component handler singulation apparatus Amundson, Arlon
1985
25 3 p. 600-
1 p.
artikel
94 Inverting paths and cuts of two-state systems 1985
25 3 p. 585-
1 p.
artikel
95 Investigations of metal-insulator-semiconductor structure in-homogeneities using a small-size mercury probe 1985
25 3 p. 593-
1 p.
artikel
96 Ion energy distribution in triode ion plating 1985
25 3 p. 594-595
2 p.
artikel
97 Laser epitaxy of materials for electronics 1985
25 3 p. 595-
1 p.
artikel
98 Laser-induced ion mass analysis: a novel technique for solidstate examination 1985
25 3 p. 595-
1 p.
artikel
99 4477827 Lead frame for leaded semiconductor chip carriers Walker, John
1985
25 3 p. 598-
1 p.
artikel
100 Logic failure analysis of CMOS VLSI using a laser probe 1985
25 3 p. 594-
1 p.
artikel
101 Mechanism of oxide leakage current of silicide gate MOSFET's 1985
25 3 p. 593-
1 p.
artikel
102 Mesure de la dispersion des proprietes electriques de monocristaux semi-isolants d'arseniure de gallium 1985
25 3 p. 592-593
2 p.
artikel
103 4477775 Method and apparatus for a fast internal logic check of integrated circuits Fazekas, Peter
1985
25 3 p. 598-
1 p.
artikel
104 4471525 Method for manufacturing semiconductor device utilizing two-step etch and selective oxidation to form isolation regions Sasaki, Yoshitaka
1985
25 3 p. 597-
1 p.
artikel
105 4488354 Method for simulating and testing an integrated circuit chip Chan, KasunK
1985
25 3 p. 604-
1 p.
artikel
106 4485234 Method of preparing polyamide acid for processing of semiconductors Makino, Daisuke
1985
25 3 p. 601-602
2 p.
artikel
107 4488349 Method of repairing shorts in parallel connected vertical semiconductor devices by selective anodization Murakami, Koichi
1985
25 3 p. 604-
1 p.
artikel
108 4486705 Method of testing networks on a wafer having grounding points on its periphery Stopper, Herber
1985
25 3 p. 602-
1 p.
artikel
109 Military's VHSIC chips quickstep into final phase 1985
25 3 p. 586-
1 p.
artikel
110 Mobility of holes in p-type silicon determined by the self-consistent method 1985
25 3 p. 590-
1 p.
artikel
111 Modeling of defects in integrated circuit photolithographic patterns 1985
25 3 p. 588-
1 p.
artikel
112 Moisture content control using alumina sensor 1985
25 3 p. 590-
1 p.
artikel
113 Moisture control in hermetic leadless chip carriers with silverepoxy die-attach adhesive 1985
25 3 p. 587-
1 p.
artikel
114 4488298 Multi-bit error scattering arrangement to provide fault tolerant semiconductor static memories Bond, GeorgeL
1985
25 3 p. 603-
1 p.
artikel
115 New applications of tape bonding for high lead count devices 1985
25 3 p. 587-588
2 p.
artikel
116 New failure mechanisms in sputtered aluminum-silicon films 1985
25 3 p. 582-
1 p.
artikel
117 4488259 On chip monitor Mercy, Brian
1985
25 3 p. 602-
1 p.
artikel
118 On estimation of mean life in the presence of an outlier 1985
25 3 p. 584-
1 p.
artikel
119 On the development of poly gate n-MOS technology Wadhawan, O.P.
1985
25 3 p. 437-445
9 p.
artikel
120 Optical interconnections for VLSI systems 1985
25 3 p. 588-
1 p.
artikel
121 Optimization of a photoresist process using statistical design of experiments 1985
25 3 p. 587-
1 p.
artikel
122 Persistent photoconductivity in sulfur-diffused silicon 1985
25 3 p. 590-
1 p.
artikel
123 Physics and technology of vapour phase epitaxial growth of GaAs—a review 1985
25 3 p. 593-
1 p.
artikel
124 4489104 Polycrystalline silicon resistor having limited lateral diffusion Lee, Ming-Kwang
1985
25 3 p. 604-605
2 p.
artikel
125 Polyimides in semiconductor manufacturing 1985
25 3 p. 587-
1 p.
artikel
126 Practical application of point-of-use photoresist filtration 1985
25 3 p. 588-
1 p.
artikel
127 Practical preventive reliability using matrix forms 1985
25 3 p. 584-
1 p.
artikel
128 Predicting oxide failure rates using the matrix of a 64K dram chip 1985
25 3 p. 582-
1 p.
artikel
129 Probability of component or subsystem failure before system failure 1985
25 3 p. 581-
1 p.
artikel
130 Process control for semiconductor manufacturing 1985
25 3 p. 587-
1 p.
artikel
131 4477962 Process for and structure of high density VLSI circuits, having self-aligned gates and contacts for FET devices and conducting lines Godejahn, GordonC
1985
25 3 p. 600-
1 p.
artikel
132 Productivity and process feedback 1985
25 3 p. 588-
1 p.
artikel
133 Profit analysis of a cold standby system with two repair distributions Goel, L.R.
1985
25 3 p. 467-472
6 p.
artikel
134 Pseudodynamic cost limit replacement model under minimal repair Park, Kyung S.
1985
25 3 p. 573-579
7 p.
artikel
135 Publications, notices, calls for papers, etc. 1985
25 3 p. 407-410
4 p.
artikel
136 Quality hybrid production 1985
25 3 p. 594-
1 p.
artikel
137 Reduction of VT shift due to avalanche-hot-carrier injection using graded drain structures in submicron N-channel MOSFET 1985
25 3 p. 592-
1 p.
artikel
138 Refractory metals and metal silicides for VLSI devices 1985
25 3 p. 589-
1 p.
artikel
139 Reliability and maintainability considerations in computer performance evaluation 1985
25 3 p. 585-
1 p.
artikel
140 Reliability consideration on a compound redundant system with repair Kodama, Masanori
1985
25 3 p. 485-510
26 p.
artikel
141 Reliability/design assessment by internal-node timing-margin analysis using laser photocurrent-injection 1985
25 3 p. 594-
1 p.
artikel
142 Reliability implications of nitrogen contamination during deposition of sputtered aluminum/silicon metal films 1985
25 3 p. 581-
1 p.
artikel
143 Reliability of gate metallization in power GaAs MESFETS 1985
25 3 p. 582-
1 p.
artikel
144 Reliability of high temperature I2 L integrated circuits 1985
25 3 p. 582-
1 p.
artikel
145 Reliability of plastic-encapsulated integrated circuits in moisture environments 1985
25 3 p. 583-
1 p.
artikel
146 Reliability of the structure Au/Cr/Au-Ge/Ni/GaAs in lownoise dual gate GaAs FET Bresse, J.-F.
1985
25 3 p. 411-424
14 p.
artikel
147 Resilient concurrency control in distributed database systems 1985
25 3 p. 583-
1 p.
artikel
148 Resist profile control in E-beam lithography 1985
25 3 p. 595-
1 p.
artikel
149 Robots in the clean room 1985
25 3 p. 589-
1 p.
artikel
150 ROMs to bubbles. The selection of nonvolatile memories 1985
25 3 p. 590-
1 p.
artikel
151 Safety analysis of Ada programs using fault trees 1985
25 3 p. 584-
1 p.
artikel
152 4477884 Semiconductor memory with improved data programming time Iwahashi, Hirosh
1985
25 3 p. 599-
1 p.
artikel
153 Silicon CVD for SOI: principles and possible applications 1985
25 3 p. 591-
1 p.
artikel
154 Silicon inclusions in aluminum interconnects 1985
25 3 p. 582-
1 p.
artikel
155 Silicon molecular-beam epitaxy 1985
25 3 p. 595-
1 p.
artikel
156 Silicon-on-silicon packaging 1985
25 3 p. 588-
1 p.
artikel
157 SMIF: a technology for wafer cassette transfer in VLSI manufacturing 1985
25 3 p. 588-
1 p.
artikel
158 Software reliability analysis models 1985
25 3 p. 583-
1 p.
artikel
159 Software to silicon 1985
25 3 p. 589-
1 p.
artikel
160 Space-charge behaviour of “thin-MOS” diodes with MBE-grown silicon films 1985
25 3 p. 593-
1 p.
artikel
161 Sputtered TI-doped AL-SI for enhanced interconnect reliability 1985
25 3 p. 586-
1 p.
artikel
162 S-shaped reliability growth modeling for software error detection 1985
25 3 p. 585-
1 p.
artikel
163 Structure/property relationships in experimental thick-film interfaces 1985
25 3 p. 594-
1 p.
artikel
164 4479214 System for updating error map of fault tolerant memory Ryan, PhilipM
1985
25 3 p. 601-
1 p.
artikel
165 Testing ultra high speed devices 1985
25 3 p. 583-
1 p.
artikel
166 The design of a truly random monolithic noise generator 1985
25 3 p. 590-
1 p.
artikel
167 The effect of porosity on mechanical, electrical and thermal characteristics of conductive die-attach adhesives 1985
25 3 p. 586-
1 p.
artikel
168 The free charge carrier effects on elastic properties of silicon 1985
25 3 p. 593-
1 p.
artikel
169 The future and potential of optical scanning systems 1985
25 3 p. 588-
1 p.
artikel
170 The logarithmic expression of reliability quantities 1985
25 3 p. 585-
1 p.
artikel
171 The microstructure of RuO2 thick film resistors and the influence of glass particle size on their electrical properties 1985
25 3 p. 594-
1 p.
artikel
172 The ordering of terms in cut-based recursive disjoint products 1985
25 3 p. 585-
1 p.
artikel
173 Theoretical and experimental studies of failure mechanisms in gallium arsenide three-terminal transferred electron devices 1985
25 3 p. 591-
1 p.
artikel
174 The physics and reliability of fusing polysilicon 1985
25 3 p. 592-
1 p.
artikel
175 The quasi-equilibrium response of MOS structures: quasistatic factor 1985
25 3 p. 591-
1 p.
artikel
176 The relationship between electromigration-induced short-circuit and open-circuit failure times in multi-layer VLSI technologies 1985
25 3 p. 584-585
2 p.
artikel
177 Therman effect of die bond voids 1985
25 3 p. 582-
1 p.
artikel
178 The role of thermal grooving, thermotransport and electrotransport on the failure of thin film metallizations 1985
25 3 p. 593-
1 p.
artikel
179 The roles and use of failure-analysis service 1985
25 3 p. 581-
1 p.
artikel
180 The silicon foundry interface 1985
25 3 p. 586-
1 p.
artikel
181 The temperature dependence of the electrical resisitivity of gold films 1985
25 3 p. 591-
1 p.
artikel
182 The trials of wafer-scale integration 1985
25 3 p. 587-
1 p.
artikel
183 The VLSI package—an analytical review 1985
25 3 p. 587-
1 p.
artikel
184 Time dependent dielectric breakdown measurement of high pressure low temperature oxidized film 1985
25 3 p. 592-
1 p.
artikel
185 Transition to one micron technology: part 5 1985
25 3 p. 586-
1 p.
artikel
186 Transition to one micron technology: part 3 1985
25 3 p. 586-
1 p.
artikel
187 Transition to one micron technology: part 4 1985
25 3 p. 586-
1 p.
artikel
188 Trends in computer aided design systems 1985
25 3 p. 586-
1 p.
artikel
189 4489365 Universal leadless chip carrier mounting pad Daberkoe, David
1985
25 3 p. 605-
1 p.
artikel
190 Using a hardware simulation engine for custom MOS structured designs 1985
25 3 p. 589-
1 p.
artikel
191 Wafer fabrication and process automation research at Stanford University 1985
25 3 p. 587-
1 p.
artikel
192 4479088 Wafer including test lead connected to ground for testing networks thereon Stopper, Herber
1985
25 3 p. 600-601
2 p.
artikel
193 Wafer scale integration: an appraisal 1985
25 3 p. 586-
1 p.
artikel
194 Zircone stabilisee en technologie arseniure de gallium; etude theorique concernant les mecanismes de reduction de l'oxyde de GaAs au travers d'une couche de zircone dopee a l'oxyde de calcium 1985
25 3 p. 592-
1 p.
artikel
                             194 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland