Digitale Bibliotheek
Sluiten Bladeren door artikelen uit een tijdschrift
     Tijdschrift beschrijving
       Alle jaargangen van het bijbehorende tijdschrift
         Alle afleveringen van het bijbehorende jaargang
                                       Alle artikelen van de bijbehorende aflevering
 
                             183 gevonden resultaten
nr titel auteur tijdschrift jaar jaarg. afl. pagina('s) type
1 A 2400-bit/s microprocessor-based modem 1981
21 5 p. 758-759
2 p.
artikel
2 A computer-aided design system for hybrid circuits 1981
21 5 p. 764-
1 p.
artikel
3 A computer-aided method for allocation of discrete tolerances in electric networks Pillai, K.R.
1981
21 5 p. 711-715
5 p.
artikel
4 A continuous time model of multiple intermittent faults in digital systems 1981
21 5 p. 752-
1 p.
artikel
5 A cut set method for reliability evaluation of systems having s-dependent components 1981
21 5 p. 753-
1 p.
artikel
6 Admissible, minimax and equivariant estimators of life distributions from Type II censored samples 1981
21 5 p. 753-754
2 p.
artikel
7 A general software reliability model for performance prediction Shanthikumar, J.G.
1981
21 5 p. 671-682
12 p.
artikel
8 A geometric programming approach for optimum tolerance assignment in circuits Pillai, K.R.
1981
21 5 p. 731-735
5 p.
artikel
9 A low-leakage-current CdSe thin film transistor 1981
21 5 p. 764-
1 p.
artikel
10 A model for the breakdown characteristics of p-channel MOS transistor protection devices 1981
21 5 p. 759-
1 p.
artikel
11 A multistate system with general repair time distribution 1981
21 5 p. 752-
1 p.
artikel
12 Analysis of the effect of mechanical stress on the effective mobility of charge carriers in inversion layers of P/MOS structures 1981
21 5 p. 761-
1 p.
artikel
13 Analytical techniques in product safety 1981
21 5 p. 752-
1 p.
artikel
14 Analyzer fills debugging gap 1981
21 5 p. 752-
1 p.
artikel
15 Analyzer tests bare and loaded boards 1981
21 5 p. 758-
1 p.
artikel
16 A new EPR center due to dislocations in phosphorous doped silicon 1981
21 5 p. 761-
1 p.
artikel
17 A new production technique: ion milling. Part II—Applications 1981
21 5 p. 765-
1 p.
artikel
18 A new technique for depot and sub-depot spares 1981
21 5 p. 754-
1 p.
artikel
19 Anisotropic etching in chlorine-containing plasmas 1981
21 5 p. 756-
1 p.
artikel
20 A note on a lifetime model Viertl, Reinhard
1981
21 5 p. 745-748
4 p.
artikel
21 A novel approach for higher yield in thick-film resistors 1981
21 5 p. 764-
1 p.
artikel
22 A physical interpretation of dispersive transport in disordered semiconductors 1981
21 5 p. 762-
1 p.
artikel
23 Applying signature analysis to existing processor-based products 1981
21 5 p. 752-
1 p.
artikel
24 Are U.S. C-MOS makers falling behind? 1981
21 5 p. 755-
1 p.
artikel
25 A review of flatness effects in microlithographic technology 1981
21 5 p. 757-
1 p.
artikel
26 A two non-identical three-state units redundant system with common-cause failures and one standby unit Chung, Who Kee
1981
21 5 p. 707-709
3 p.
artikel
27 Automated semiconductor line speeds custom chip production 1981
21 5 p. 756-
1 p.
artikel
28 Automatic unit troubleshoots systems 1981
21 5 p. 752-
1 p.
artikel
29 Auto slump drags IC prices down 1981
21 5 p. 755-
1 p.
artikel
30 Base component of gain and delay time in base-implanted bipolar transistors 1981
21 5 p. 765-
1 p.
artikel
31 Base metal thick film conductors 1981
21 5 p. 764-
1 p.
artikel
32 Bayesian 1-sample prediction for the 2-parameter Weibull distribution 1981
21 5 p. 753-
1 p.
artikel
33 BS 9000 components and reliability quality factors: Suggested use of MIL-HDBK-217C factors based on a comparative product assurance analysis Gissing, J.G.
1981
21 5 p. 683-697
15 p.
artikel
34 CAD station aims at VLSI design 1981
21 5 p. 759-
1 p.
artikel
35 Calculation of the self-induced field profile produced by steady-state distribution of signal carriers in charge-coupled device 1981
21 5 p. 761-
1 p.
artikel
36 Calendar of international conferences, symposia, lectures and meetings of interest 1981
21 5 p. 623-625
3 p.
artikel
37 Change of the electron effective mass in extremely heavily doped n-type Si obtained by ion implantation and laser annealing 1981
21 5 p. 765-
1 p.
artikel
38 CHAS seeks title of global CAD system 1981
21 5 p. 758-
1 p.
artikel
39 Chip set bestows virtual memory on 16-bit minis 1981
21 5 p. 758-
1 p.
artikel
40 C-MOS a-d converter interfaces easily with many microprocessors 1981
21 5 p. 759-
1 p.
artikel
41 Confidence regions for distribution bounds 1981
21 5 p. 753-
1 p.
artikel
42 Contribution to range statistics of Boron implanted into Silicon at high energies 1981
21 5 p. 765-
1 p.
artikel
43 Control chip and driver program unlock magnetic-bubble potential 1981
21 5 p. 758-
1 p.
artikel
44 Control of the transistor base sheet resistance in constructing a monolithic analog integrated circuit with symmetric I–V characteristics Srivastava, A.
1981
21 5 p. 743-744
2 p.
artikel
45 Copy Japanese, U.S. managers urged 1981
21 5 p. 755-
1 p.
artikel
46 DC conduction mechanisms in thin polyimide films Nevin, Joseph H.
1981
21 5 p. 699-705
7 p.
artikel
47 Detour ahead for IC equipment makers? 1981
21 5 p. 755-
1 p.
artikel
48 Diffusion theory of the steady-state flow of minority-carrier current through the Schottky barrier 1981
21 5 p. 762-
1 p.
artikel
49 3−d MOS FETs shrink static RAM cells and analog circuit blocks 1981
21 5 p. 759-
1 p.
artikel
50 Dose accuracy and doping uniformity of ion implantation equipment 1981
21 5 p. 765-766
2 p.
artikel
51 ECC tackles fiber optics, bonding, hybrid technology 1981
21 5 p. 755-
1 p.
artikel
52 Effect of device reliability on memory reliability 1981
21 5 p. 754-
1 p.
artikel
53 Electron beam finds memory faults, reconfigures chips 1981
21 5 p. 764-
1 p.
artikel
54 Electron beam lithography at the Rutherford Laboratory 1981
21 5 p. 765-
1 p.
artikel
55 Electronic characterization of double-gate thin film transistors 1981
21 5 p. 762-
1 p.
artikel
56 Electron light scattering from doped silicon 1981
21 5 p. 759-
1 p.
artikel
57 ESR studies of thermally oxidized silicon wafers 1981
21 5 p. 760-
1 p.
artikel
58 Estimation of reliability of cascade system 1981
21 5 p. 753-
1 p.
artikel
59 Evaluation of CMOS transistor related design rules 1981
21 5 p. 757-
1 p.
artikel
60 Evaluation of inhomogeneous resistive layers by a four point method 1981
21 5 p. 760-
1 p.
artikel
61 Experience in the shifting of responsibility for quality through introducing operator testing in industrial production 1981
21 5 p. 752-753
2 p.
artikel
62 Face-down TAB for hybrids 1981
21 5 p. 764-
1 p.
artikel
63 Fail-to-safe and fail-to-danger analysis of logic protective networks 1981
21 5 p. 754-
1 p.
artikel
64 Failure analysis techniques applied to expoxy encapsulated thick-film hybrids 1981
21 5 p. 762-
1 p.
artikel
65 Fast on-chip memory extends 16-bit family's reach 1981
21 5 p. 758-
1 p.
artikel
66 Field enhanced carrier generation in MOS-capacitors containing defects 1981
21 5 p. 761-
1 p.
artikel
67 First-passage time distribution of Brownian motion as a reliability model 1981
21 5 p. 752-
1 p.
artikel
68 Functional and in-circuit testing team up to tackle VLSI in the '80s 1981
21 5 p. 759-
1 p.
artikel
69 GaAs epitaxial growth for field effect transistors 1981
21 5 p. 761-
1 p.
artikel
70 GaAs semi-insulating materials: key parameters and characterization methods 1981
21 5 p. 761-
1 p.
artikel
71 Growth and characterization of large diameter undoped semi-insulating GaAs for direct ion implanted FET technology 1981
21 5 p. 764-765
2 p.
artikel
72 Hermetic chip carrier packaging 1981
21 5 p. 757-
1 p.
artikel
73 Hot-wall CVD Tungsten for VLSI 1981
21 5 p. 757-
1 p.
artikel
74 How Japan's chip makers line up to compete 1981
21 5 p. 755-
1 p.
artikel
75 Improved laser trimming geometry for thick film resistors—philosophy and example 1981
21 5 p. 766-
1 p.
artikel
76 Individual wafer metallization utilizing load-locked, closecoupled conical magnetron sputtering 1981
21 5 p. 756-
1 p.
artikel
77 Innovations which reduce costs and turn around time of integrated circuit production for small electronic engineering companies 1981
21 5 p. 755-
1 p.
artikel
78 Interface edge effect and its contribution to the frequency dispersion of metal-oxide-semiconductor admittance 1981
21 5 p. 759-760
2 p.
artikel
79 Introduction to reactive ion beam etching 1981
21 5 p. 766-
1 p.
artikel
80 Investigation of the possibility of the laser trimming of ceramic capacitors 1981
21 5 p. 765-
1 p.
artikel
81 Ion implantation for GaAs field effect transistors and integrated circuits 1981
21 5 p. 766-
1 p.
artikel
82 Is epitaxy right for MOS? 1981
21 5 p. 755-
1 p.
artikel
83 Light maintenance for a two-unit parallel redundant system with bivariate exponential lifetimes Sugasaw, Yoshio
1981
21 5 p. 661-670
10 p.
artikel
84 Localized anodic thinning of GaAs structures 1981
21 5 p. 760-
1 p.
artikel
85 Logistics availability for sites with periodic or random resupply 1981
21 5 p. 754-
1 p.
artikel
86 Low frequency excess noise in SOS MOS FET's 1981
21 5 p. 762-
1 p.
artikel
87 Luminescence and lattice defects in Cu In S2 1981
21 5 p. 762-
1 p.
artikel
88 Makers organize for quality. Part 2 1981
21 5 p. 752-
1 p.
artikel
89 Maximizing a 64-K RAM's operating margins 1981
21 5 p. 758-
1 p.
artikel
90 Membrane touch switches: thick-film materials systems and processing options 1981
21 5 p. 763-
1 p.
artikel
91 Methods for probabilistic analysis of noncoherent fault trees 1981
21 5 p. 753-
1 p.
artikel
92 Methods of end point detection for plasma etching 1981
21 5 p. 757-
1 p.
artikel
93 Microprocessors and the M.D. A new breed of smart medical equipment can diagnose, monitor, analyze, and rehabilitate 1981
21 5 p. 758-
1 p.
artikel
94 Minimizing the parts count of multichannel data systems 1981
21 5 p. 754-
1 p.
artikel
95 Mobility of majority carriers in doped noncompensated silicon 1981
21 5 p. 759-
1 p.
artikel
96 Monolithically integrated circuits on gallium-arsenide basis, part 2 1981
21 5 p. 761-762
2 p.
artikel
97 Monolithic integrated filters—an overview 1981
21 5 p. 757-
1 p.
artikel
98 Monolithic microwave circuits 1981
21 5 p. 758-
1 p.
artikel
99 MOS threshold voltage monitoring 1981
21 5 p. 762-
1 p.
artikel
100 Multi-echelon multiple criteria spares allocation 1981
21 5 p. 752-
1 p.
artikel
101 Multifunction chip plays many parts in analog design 1981
21 5 p. 759-
1 p.
artikel
102 Multi-scan electron beam sintering of Al-Si OHMIC contacts 1981
21 5 p. 756-
1 p.
artikel
103 N-channel process increases speed and density of MNOS EEPROMs 1981
21 5 p. 758-
1 p.
artikel
104 Network problem-determination aids in microprocessor-based modems 1981
21 5 p. 754-755
2 p.
artikel
105 New approach to thick film resistor design 1981
21 5 p. 763-
1 p.
artikel
106 Ni-P as a new material for thick film technology 1981
21 5 p. 763-
1 p.
artikel
107 Ohmic contacts to Si-implanted InP 1981
21 5 p. 765-
1 p.
artikel
108 Oil prices fuel power IC work 1981
21 5 p. 755-
1 p.
artikel
109 On selective electroplating of gold in fabrication of MIC's Ahmad, S.
1981
21 5 p. 727-730
4 p.
artikel
110 On the correlation of “hot” and “cold” electron-hole drop densities in uniaxially stressed silicon 1981
21 5 p. 760-
1 p.
artikel
111 Optimum ordering policies with random lead times Kalpakam, S.
1981
21 5 p. 737-741
5 p.
artikel
112 Origins and minimization of defects in sputtered thin films 1981
21 5 p. 763-
1 p.
artikel
113 Over a million devices make up 32-bit CPU and support chips 1981
21 5 p. 758-
1 p.
artikel
114 Oxidized porous silicon isolates better than sapphire 1981
21 5 p. 758-
1 p.
artikel
115 Performance of digital integrated circuit technologies at very high temperatures 1981
21 5 p. 758-
1 p.
artikel
116 Personality modules' firmware controls VLSI circuit tester 1981
21 5 p. 758-
1 p.
artikel
117 Physical interpretation of the tantalum chip capacitor life-test results 1981
21 5 p. 751-
1 p.
artikel
118 Planar double-heterostructure GaAlAs LED's packaged for fiber optics 1981
21 5 p. 757-
1 p.
artikel
119 Plasma deposition of silicon dioxide and silicon nitride films 1981
21 5 p. 763-
1 p.
artikel
120 Plasma enhanced CVD in a novel LPCVD-type system 1981
21 5 p. 756-
1 p.
artikel
121 Plasma etching of aluminum 1981
21 5 p. 755-
1 p.
artikel
122 Plasma planarization 1981
21 5 p. 755-
1 p.
artikel
123 Poisson process and integrated circuit yield prediction 1981
21 5 p. 751-
1 p.
artikel
124 Post-characterization of GaAs field effect transistors and integrated circuits on test patterns 1981
21 5 p. 756-
1 p.
artikel
125 Prediction intervals for IFR distributions 1981
21 5 p. 752-
1 p.
artikel
126 Probabilistic evaluation of prime implicants and top-events for non-coherent systems 1981
21 5 p. 753-
1 p.
artikel
127 Progress in and technology of low-cost silver containing thick-film conductors 1981
21 5 p. 762-763
2 p.
artikel
128 Projection aligners stepping out 1981
21 5 p. 757-
1 p.
artikel
129 Properties of low anodization voltage thin-film capacitors based on α-Ta with nitrogen concentrations between 14 and 30 atomic percent 1981
21 5 p. 751-
1 p.
artikel
130 Publications, notices, calls for papers, etc. 1981
21 5 p. 627-635
9 p.
artikel
131 Quality, reliability top TI's list 1981
21 5 p. 751-
1 p.
artikel
132 Raman spectra of Si-implanted silicon on sapphire 1981
21 5 p. 765-
1 p.
artikel
133 Rapid determination of concentration and mobility profiles on thin GaAs layers 1981
21 5 p. 761-
1 p.
artikel
134 Recursive disjoint products, inclusion-exclusion and min-cut approximations 1981
21 5 p. 754-
1 p.
artikel
135 Reliability analysis of a fluidized-bed boiler for a coal-fueled power plant 1981
21 5 p. 752-
1 p.
artikel
136 Reliability determination of a r-successive-out-of-n:F system 1981
21 5 p. 752-
1 p.
artikel
137 Reliability problems in TTL-LS devices Canali, C.
1981
21 5 p. 637-651
15 p.
artikel
138 Reverse CMOS processing 1981
21 5 p. 756-
1 p.
artikel
139 Review of factors affecting warpage of silicon wafers 1981
21 5 p. 757-
1 p.
artikel
140 Review of RIE induced radiation damage in silicon dioxide 1981
21 5 p. 760-
1 p.
artikel
141 Safety in chemical vapor deposition 1981
21 5 p. 756-
1 p.
artikel
142 Semiconductor device sensitive to magnetic field gradient 1981
21 5 p. 759-
1 p.
artikel
143 Semiconductor industry silicon: physical and thermodynamic properties 1981
21 5 p. 762-
1 p.
artikel
144 Silicides for interconnection technology 1981
21 5 p. 757-
1 p.
artikel
145 Silicon temperature sensors require little compensation 1981
21 5 p. 759-
1 p.
artikel
146 Simplified process controls based on acceptance by attributes 1981
21 5 p. 753-
1 p.
artikel
147 Software aids to microcomputer system reliability 1981
21 5 p. 754-
1 p.
artikel
148 Software rules give personal computer real word power 1981
21 5 p. 758-
1 p.
artikel
149 Soviet chips feature refined fabrication but mimic U.S. ICs 1981
21 5 p. 755-
1 p.
artikel
150 Squeaking solder powder Anjard Sr, Ronald P.
1981
21 5 p. 749-750
2 p.
artikel
151 State-transition Monte Carlo for evaluating large, repairable systems 1981
21 5 p. 753-
1 p.
artikel
152 Static n-MOS RAM idles on trickle current 1981
21 5 p. 759-
1 p.
artikel
153 Statistical evaluation of learning factor in reliability studies 1981
21 5 p. 751-
1 p.
artikel
154 Stochastic behaviour of a two-unit redundant system with switchover time Kumar, Ashok
1981
21 5 p. 717-725
9 p.
artikel
155 Strain sensitivity of thick-film resistors 1981
21 5 p. 763-
1 p.
artikel
156 Stress-sensitive properties of silicon-gate MOS devices 1981
21 5 p. 759-
1 p.
artikel
157 Strip architecture fits microcomputer into less silicon 1981
21 5 p. 756-
1 p.
artikel
158 Substrate response of a floating gate n-channel MOS memory cell subject to a positive linear ramp voltage 1981
21 5 p. 760-
1 p.
artikel
159 Superfast annealing 1981
21 5 p. 765-
1 p.
artikel
160 Surface enhanced and disorder induced Raman scattering from silver films 1981
21 5 p. 764-
1 p.
artikel
161 Survey of the international state of special LSI circuits for the PCM technique 1981
21 5 p. 757-
1 p.
artikel
162 System maintenance firms find a niche 1981
21 5 p. 754-
1 p.
artikel
163 System performs complete SLIC tests 1981
21 5 p. 754-
1 p.
artikel
164 Test strategies find faults in users' bubble memories 1981
21 5 p. 751-
1 p.
artikel
165 The diffusion of silicon in germanium 1981
21 5 p. 762-
1 p.
artikel
166 The drive for quality and reliability. Part I 1981
21 5 p. 752-
1 p.
artikel
167 The effect of boron redistribution on the threshold voltage of n-channel MOS transistors in CMOS structures 1981
21 5 p. 761-
1 p.
artikel
168 Thermal resistance: a reliability consideration 1981
21 5 p. 751-
1 p.
artikel
169 Thermal transients in electronic packages 1981
21 5 p. 755-
1 p.
artikel
170 The role of transport in very small devices for VLSI 1981
21 5 p. 760-
1 p.
artikel
171 Thick-film thermistor and its applications 1981
21 5 p. 763-
1 p.
artikel
172 Topological classification of defects in crystals 1981
21 5 p. 761-
1 p.
artikel
173 Transmission electron microscopy observations of lowtemperature ion implanted (100) silicon 1981
21 5 p. 765-
1 p.
artikel
174 Transport equations for the analysis of heavily doped semiconductor devices 1981
21 5 p. 760-761
2 p.
artikel
175 Trends in packaging and interconnection of integrated circuits 1981
21 5 p. 757-
1 p.
artikel
176 Trimming behaviour and post-trim characteristics of Ta2N resistors on silicon 1981
21 5 p. 765-
1 p.
artikel
177 Unified availability modeling: A redundant system with mechanical, electrical, software, human and common-cause failures Dhillon, Balbir S.
1981
21 5 p. 653-659
7 p.
artikel
178 Uniform aluminum deposits on large non-planar and planar polyimide substrates by physical vapor deposition 1981
21 5 p. 756-
1 p.
artikel
179 2-unit standby system with fault analysis 1981
21 5 p. 753-
1 p.
artikel
180 Users push for quality. Part 3 1981
21 5 p. 752-
1 p.
artikel
181 Vibrational properties of crystalline and amorphous Ge 1−x Si x alloys 1981
21 5 p. 760-
1 p.
artikel
182 Wafer-etching systems line up 1981
21 5 p. 756-
1 p.
artikel
183 X-ray lithography breaks the submicrometer barrier 1981
21 5 p. 755-
1 p.
artikel
                             183 gevonden resultaten
 
 Koninklijke Bibliotheek - Nationale Bibliotheek van Nederland